From 6b1e625217573ae1dbfd65a170f562bd58d5890e Mon Sep 17 00:00:00 2001 From: Sam Moore Date: Fri, 31 Aug 2012 12:40:16 +0800 Subject: [PATCH 1/1] Add figures, Dear Git, It figures that I forgot to add some of the figures to git. Shouldn't the update script have added them? Useless script. Figuratively, Sam --- thesis/figures/adc5.pdf | Bin 0 -> 10749 bytes thesis/figures/adc5.svg | 713 +++++ thesis/figures/adc_normal.pdf | Bin 0 -> 8810 bytes thesis/figures/adc_normal.svg | 323 ++ thesis/figures/atavrbfly.jpg | Bin 0 -> 30473 bytes thesis/figures/avr_butterfly.pdf | Bin 0 -> 186965 bytes thesis/figures/avr_butterfly.svg | 453 +++ thesis/figures/block_diagram.svg | 724 +++++ thesis/figures/daq.svg | 5021 +++++++++++------------------- thesis/figures/electron_gun.svg | 2035 +++--------- thesis/figures/logic_ps.pdf | Bin 0 -> 7255 bytes thesis/figures/logic_ps.svg | 317 ++ 12 files changed, 4759 insertions(+), 4827 deletions(-) create mode 100644 thesis/figures/adc5.pdf create mode 100644 thesis/figures/adc5.svg create mode 100644 thesis/figures/adc_normal.pdf create mode 100644 thesis/figures/adc_normal.svg create mode 100644 thesis/figures/atavrbfly.jpg create mode 100644 thesis/figures/avr_butterfly.pdf create mode 100644 thesis/figures/avr_butterfly.svg create mode 100644 thesis/figures/block_diagram.svg create mode 100644 thesis/figures/logic_ps.pdf create mode 100644 thesis/figures/logic_ps.svg diff --git a/thesis/figures/adc5.pdf b/thesis/figures/adc5.pdf new file mode 100644 index 0000000000000000000000000000000000000000..27909ee72201b07059d7138ccc5930c30ca57e96 GIT binary patch literal 10749 zcma)C1z1#Fw+5s^T3Q&84u@vwM!H41ht6T>loTmRLAtxUy9Go_N;;)WK#)7=SO5Rr z`#g6)%bLg!NeL}P!IrA0NYzYEdg9G zk{UVy002r`*+Rh(SZ`|#1xtcW9n8S!!oujzPzc!A4&6PqPJ1M3vmSTp8*?oWAo->D zBQYQ*F+76VYOdQOV84(RS^q&FDE!uUj4}4JPq7!A7cvoR;-E)CsyYW~OUBexwxqxYRh-*+claR++FYf?HCjDK$5x*(I?8eR>Aj{zOx zkUMUy_r=^(>YD3ChLeL~<&rP-r6~nDC}ttb5-_M`B3& z^@pq}o&gO8^f(lUxNMc+Y^&gRJVO@6Dy_PlG}V0hY!uzJeV1Qq_n9J|Xnua)Tzlrg z0nCQ~CN@J{m8u4$rZ89i1kqMc=o%*cdBQCC=m zU8R>rs1^2CL}(IOO_~|lg2mmJUk1fWMzdsOSVMM~@*a=rPbTRRBdbqIh$R$k`OB*} z^Yle>eB@o477?9;WO&luWJ4L>H<6`^u4<7&l^nO^9OfBKx@_X@kWO}Dd$5>?yhP`jc2wjWI&w7?0N@*f4#RJ5<xA;NGE{kZ6m$Qz27R*y22P(2h$MF{i# zS3lg5W1bBFxR(PlaNl()O_RB0YEZU)+}k5P;fnlTZj{#-qU~fOz&zBdmO*DTl%&Hs|t{uR6=q{QzVm?v7CQoNYubaE7+mr z7nnhv#kYC1d;NWPU^^QAAjDo^3cg5-Pm28LC-bVbzGanZZc{B7VDz$6g-QVTLyW_bcD$(~A&d zeq!psIU4UuLb%H2=rcSfkfE_+`-V}?3YuM0Wk6FHlbJIuBETrmmyFjhCupk-Ff9}F zTTaTll=Y1&U`u;Jq4^l8jX7J4vYb_%K1prbw}*2XAu*AHQ>M@!U$uVodI#RJ_J2XMVe-gUC-8Nvou?T!ZpxQ)dBfT5%oLGL-*G@mn{e- zXvkCgkB1o?b`c7`Pg0JBuUKtMk=*fMBU~jcG#|_xL_Oci0_55ipucExlNLbz@TiuK zp-5E}NyBzM{$fU4ThIS!@jY<@I3V|JJbqaw8KQj2 z&DP9P_tvai{zJVTv}Rl-GI-B(QY^_;NlRQbfA&w>F>pe8IMJHo z!gU^HGm=Rek=Qe@ovNhC+u&yFeEo2+2^5F|Q<2N=U%m)omGZyO1NT z9*+e@#lbpK1a0imKDFgysy@An$z?^X@J6Y>gV|Gx#%pWJnwn*=*cMF*q~KbrD#M^p zlD#Qne0K48rE&EDbLQsA0wp-liavX~%9e(acro`{0X993J%ze&>%{OvO^-ytf{iAOw7lyb4t`Y9o7l zKo8#Pi~2a}ehtax&M0xJvLSkuE7*@R5V#}ii;(12)#W_o^b8j0AJ*3{B5>vtLyJw% z$v|>;WmH*qI8j|yJ=Rm4NeNEt0i{rO-`>IIP$OQrQnckQB^-ZCjDXemWUG%h3`2`-R*7{v*SF;2rsH~ikq*o` zsLAh)vLkL*?TpjjA49U}plWSRlMa>HQ+VnnjaO8C$rpf zQfYJYP3xC8CTU(NmPB0`75vGJwg#4Nl-Yxbj?5{uq)$GjPLI)HFkh^yy;ytkO@WAK z-oO;UL;pNLb5%*v*RT|*GxgfV(!|Mv+_@Q+&Uw6bVe?~>9mBb*onOWgE5_4|hvu;W zeYRGgdow9P{s?0>=XW0ysYOjMs1yw+8dCWEg;bYvqvq}7$thcYnxfLZDodl>3Z5U+ z$O(QwDzAwX*q`!t445R|i9$v3@%Z(D=Iy)NpZ#!`?qE1*6fg41dKtWRJGZ|+p&^NX z^;crJm!F?LBA3L+p!6LSWh7vw&%&!?juzt^j5!y23Xb2j{JNA7=~&72t=`U%pJ8Wm zK_{fHYMBAMX%&YnD*-v=pFU_-t-dxq@x0`_s669($Fja_k>O6t~=b1PfUNltGh9s7KS==N4ZJD-GXYPJ9gK zo6k&jmBS5O2_%^Jmi4J!Z)gGdN)g9TO5;WcC`a9n*0a{z)M(JZn`;;8`=w z_sK}}xqGysu|3>|x!t}`f~8b>+}x1;kq;*tVR?X{{9#!r(YRlKQpskI|# z8mh&EeVEZ|=*e*8f+8+A2eb}9n-PW0{i!?jt9V@oKg`AjU2UJdEfTa0Yf2xDc|XP= zBgeRGF-3Qh$n#n(>ADU3NB$Y3>sIZ2gTfnqo5<~ogMN%ph>MX!fDe>XVQuR?CkYK< z{gxf+`)(;}`xd+0y7%~{!4*#O*s)}=5e*xw(*c>WRw0BU$Rf&oAkV+-&v!79cO zFl-(6Kj#4z!Dd#*;tuWrT^NZCz{$b>oQDn8cmSO2902`alO$lGVY8e850&xnGWZ3= z!Nu_(+xac#7xhnl)M3IQ#`eyR4{9pAr=&z0ZZ}s?tHGdcG>;PU~F1G(D(5JQi^fadH4S$rjjVF_shj8{_ zqGECeg5IrRBjBn|hH>~MsD3Dt%A!u5e)5c40-yWjh35o13ul^K*rhy;GYCO z+ZtoC7I1p)8j&LB+_NL>uO5Gg%8#zkQU_oVrSvj~g0P21sVVGVscG7b8Tz*B9$;faO@R}bOyuYVPVZHanh7)_ zqn-)9(u)wIfLu}+l0U%-u)0YOXymXSBN@6TnNT3OKgy zga<`M<8wOkl}jrkDONd_?13q0U(>&Ve>bd_@Uyi8wMcBlanMA2m_b->qj24rg{(wj z1-}>Mf~=q(p0IFzuqLeak&s#bTCGZkwLuVFclsxi%Da!%r7Yo$_yWs(qrxVBUL9wR zmhHp?9ahTpH$<*JueUu&dV7)_sWmEH7PVKkEK1x?ZW{S#`w>SE{7=%W&^!m46ar1R z=XIcSGsX8)A8@1IDox>!;PcW;;~_B{eeGh&tJu5fH3wsjobX%0J%1X@X?k+IL4RuK zyPfv_EF;N#^e{l_8lJ3oiY$F?&TDeA!_xYOTBt4GP=x0LUvf&M3>7X@$EiM*j~Aym zUn8+&*OT`+sZF+)kKQA^;TffEv{um3x2z$?K834e3Slnmww}O@VXH*f)u>RAP7|11 z`>DvX)?(OVzj}@y9z;+}CO#Z)J|S02Xcrc;TS+Wu^h}G}Tvem?REw}e!E`dg`-h!v zIt0k>NAh*_z2$eEnQ9lgraRS5_R=jU8bs!2?3Dxx{REqZwlBr2_vLB7-?^kUl-m%F zjkzz*rjKb3CIqFq)IBXHg%n&8vri z6Y7WSwZk@9&`q_d^DAE`nK$+F$47QsC6dfEC0@_;CFac}*y3we4NOSbM#<7i z>oL;HjwIGRvHi3Fk;>7V0DKT&xsvy4MuozKUe@HN$NW5dl6y}f6BO$xa?D{93{E>1 z9*}HHd%CNBR9@q7PV4z3d=?>&!0lX%GRw}!%CX70Wpp+5tK2JHW<|50AJMW*vTteH z-b1NmBVM!HMsV~C(5Yfhg=mFnq0AF@BGij&ujs$CWYw}vQmD?j(2@UCnOLguNrCh8 z)!V4M%Y2Em=<)QkuTQHLDJ<>;ge`Q^s=b!#JqsWsA7`VmpEf&mit?n+X<@dA`+lyx z=xl#VN5>aAPNSgeh-RfC*D5r$SNG(N?t(oyuM^|DiQ>>@8W>jKR^hdFV}+UF(h zbMT-bK-L6M> zBxH;@#NGn2$&dHG@s1{sO0$`zMkWar^mnRCSCdGBY;gi|R%@?BHBzV1#`}sclwMU* zJAQeUA^x0c49n@ufN`B9(x)|&6uC8z@0i9;n_C|o=lKW0Z4w-i&1T4Bk~^wrj6E5+ zgQ(^-7Uxgn2$j%(^t^UtOC>){$%sE1$KF6Wi#z+VRgjQdCad$!kW7nAFQqmAXscFc zKA6kzsH6>e(XO%s#3Vq*h?BpieI08g3u)>QRH0x|K2#S?{RUnDvkWA}+Bq_Fd+k(~ zZrH}<>0DZxn3kT-7kIsT?q1qnZvSz@#fwY;$g!DR7K~Cb=3}=d0%Xwc1J}rl@PE4B z8%yBstNH4_H*I?O1RIM&FD`M$_zRmAXND|~ZJ^_qlu+Dq$zHj~)SB|57gUJE9-Xpv z6Y2%ClWWJvB&?60vYNlK<`f5Le~W0}7v++6&I8cT(N;@nKZg**)`F3r20z5p*{(%qt4_OKfB%uNd=_~%_0)iXOAE;W_H@CJ zA_C7grwPoen$DC^cHH#t?!^2!0*wT<9yjgBm$78f8r*@R1aGI}UPK9APZ@))^gA@J zb6~YD!u4H);gDaYqAg>}sOyie-nv-FGFm+!1wBR`K>ABj@80^97e+p|fNY1bCF0NC z?Hj}*=Lg@MlPU)>&inf-Hk8{6O~}@k6+nsZAKh4X75yYcOPnZO{MMzr6HMnL1^Odm z<|L>}$mEwb%*7Att7~34WJp>{?{}PWdc^Ez#91|N$e)gsG}KH8y?GQA%$MXfKgx2m zP@z@IlJEpl&^lCMd(dZNM1B9`kD2u{Uh9?LBx!N7R3T-I#bfe zOXmB)2r9!-J)zp**wJH67^ zf^gD^Wi&k1!YMrN$FyUQrF2fNU+M#ZY-wG^XMJZKUZU-QBhx3ga(!+lu4iQpgX^af z*D->=BV`2x(e$2-YBg!=3eB$XO2gj(WgX=~!St5p=t7KC*&ft4o}qZJ6QUw>!<>Z8 zbH3P2zU=raM#by8m#Z^Al`a(wfkuO^_Vm}Ao7VS4Z6{IHC1>W~fw89*2YY%W7~e-(Z_>|C z+4_p~q2*Y@#7~tJ3Q-CQ47B!A$>vJjxrR2QNQnlzvh@|O1X2?ALV7ti2F5( ztlfVc*B0BE4U}akfK+r~YnIlw`}WF%kHlZiwt#`KyOPIFmPN#+?Y8i=raG5AMMB3X z7bxM6`jgvhY3rqSBg)+YXdIA&nB?-VKRJ@ebxPfWzTG{W4nMT~lyoa@!K8QGd7Hxz zJdSY09w@|K&hn~(EGLEH`}ifvzDHL1;oJ9wZB7Aq$xk&ep=0w+XLb5=bA5wf*Z7D& zG2{S3QLk0^0i+?J>uKG1k>je*y{D>Y2*FiqRHhS4N)kLdq&vWx0nbsI2$SzWiQ4iX zlkIJXJsoP5UZg^=*0V)pj=yXu-9;fdaYK;kLPSA2oNShu z*^7ex2tmdg3l6pYW_`_V6jJgeTVoW(`_vpI#m{UsD>Ro_AyWkzL%7SnLtlmc(%a^2 zyr@TVU97%Ts5XBjcwb!P5l^H3mPI3g46tgT$N_IB@^dfC*lPYk62rb;;ZfIh3ULK(t5EnlZB}?)#l`bST9%Fl%n!- z4c#lew6oI!?b)nPkf2 zW^Qb97|>5TvJ3Rk+LTq)!oOpRYcx8*!EIWIl&Z6K*ZEs zx)Sb!uInx67J2f;+tpr2gtkyav$1)7lHr+^(>Cd>LvYAd6d{gCk8h1_3orx?M?obk z-w8;}?ZVQ3f)k`ABiqNt{ZhUzS10hNv27CMNsC-EJ>iLziR?#ym$b{mn?gbW7N zoLU2Mfx!H%Z?}++#CJ(x8dZe%qMwqBCE8@H%G8|IWD8=)+QDq6=v(Qy_1ODEQvTAw z5q>!SY`+b;yP)z)ShpKCizX3mJl)rIU8E-`C3u>&<)=az<8;lao^NtfgfJn}HOurx zsl=H@oiof1P(A%&9`I=MR`Oe2TBU|mJUQM`I^uf8rlOVL=%+LAnH zhws_e60Pj1uKGZIoH8rz{(F@=ZsJzCj5Ip24GR<#q0 zDz^f;3x9mVuPnZMOn6J^Q%2_*ux!o{V%!rSlE&F{SP@2xp&Nc%gHRJ7HMB^OKihM! zd!=YG*U`6X2)~xZsyy<2W43`jL*$}Yzv5}da@bW{Ol+UfjK9=(Nk-@ae3N~ZA{ zy-0|-gZ>9?{cF+bbXwXx@SPeqVxZq#$G*oiSAv)bx8x2(kP#RZ#`g*SO_X3K11ojJ zGJVn#vhZ~GK|U~&+6W6jX$j6Xe<7IcWCN2g(m-gNUbdG0aTeB_0C+YE^Ui}xL>_;_ zkAS4OA1*$F@JE&6`1 zJiVlP`wBt>NkSVAhEsgWfCR$yk?`=wEl%caO%_&RzCUj!a(#^u7gN4I(Pst8Icysv z+|kxPQrSq9iA4(P4X!dhj7Tks4aFdq4@g%s44?Y*yW!`bz4y>6mp*)}yoGXg(HfPp zt@y4--UA=Fkr(mSfL(DvD>2-G*?!F!ZtqKh3VP@X*~jjjTe-s^*=Y3>b^htRRZsW1RNa!wLd@L>nm8z2E6uxM@!n&dT3ia( z$�}BckNRJ@I29GoE<%qb(o-`r+B$Zt2{X8y*(k=#~q-=BFWb$cYe_a6@984OylkQo$? z=C>{L;7lZ=#D7CmWhc+8mz+kMl&MFa#n0=Xq_O-=cS?0Ge2~dXKLv-uMz%GnPqH6) z$A(&x73<1zQp~qz;pr?OBA>)ON4IHV+0C3KLU5+J#$6P&s*i0|%;tAZMm%Mc@F^&J z{@7(QklvgIoAgQ~L`)xJM%=f|)T*+)gxQbZqmQJn`&BrVJS%oy>YW?*I2I|0(a*E8 z-g!Sks3nTEHFhgsp+MJEa*|8rQtm9-$+8S}VmYXLekIkT>+wxUJTBRJ(=%ijn-0jq zsL92JmwhC~1wJB6K1r$!*|D#~eFZlECEsEFS5XO!^LY#(8@C}ExPk5ao6I}@fhD1{ z4xfPBtUeRQomOJP4|hcR2YVi%AzjP;*TJ`f@nIm4d|B+gT%AZN!L*9pcY%16u+kK( z{g9s8OSX$2Y)B9`xH@mL)Lh^9JDF{nB~n77^=h&<>zN3WCizpp9 z`nh*;U&Hf-`2*)4!QJG*mA`;n9Dy?lA-lI=Yr^X-dWUs37)ac~B4X|sUJVbL}NV|$G2k@a?;j2V|`mrsylzO;CdU{ z>ma={>)~#&_A2>mvx#wS#9HtL#<*e^P?(as-Ev?&bVWNXL-v*n|Gp&HybX@zoEn(t-D{Qz< zI38NrA32UYFAt(sJ<3Ozpr7ug73Qc z)4lbJK~S{AWZ(KpqvF7T?NwoK*)&$wOOv`sB|CY=*4`V&1@0So-e1D7>(&!TU-S}& zr7&akZar&kN`3W{orVdclH=n&aknBKuP{i)oy_F^!^Ylr&$HBiv+CkB0Hj3RVvAwpj-jELB*X%6qB9 zoN2}qK{kkl_3NyzEbrXodXw=i6dJ-v4nRvGy#4**WSmYPddYS+$W9%Li3-^C6?Ow> zy^fp1*w^^Ou2tR3dWU9rOsacrpshM>}M;1ZWxe^iRZc^(qC{gc{n4R3CUSK*5 zq#8F5o~%^vGq5WR>@$$>4X~h?8<5g+&>D~ilSpJshCB+DTwM{Cpy`Cr8%V@+@dC+E zAx#j?yj7hLVGhkav{tV66Gyn@XrMJN#B)&gQL9W11EckhI7yJgi+6*2S`!}>S?=z8 zP<~dRT^WkV-Xr}aHfsAf^6>CZ{14=T8^p==Cm6u@@3-gd4{!Saf5%z$V@Irm*a$+t z3ri(V_GN}OkljY8a*{4&m$X=2;N8MjFU&kncK$IK1{{^o8A#}(bWLO} zvn8&puKno79CiVH>e7p(o}>5Zx+0Yyzwdd|Qer~BG`6$GOO~I|&=G51S9eWkWo2Ab z7T<=q`-K(z$39jkC2eG968O6bw5s}?Qi06h=aU=ksR@52=e@S#wM`F^= zIUobktW8piJvdLuW^z&k<_^pP?pdU8Pv7#0lBTp#uC-huNKLO6%|ATa!8tI zl3So_0Dve7nRrlyU?vM?t4u6{=I@!DGT9P41)|0r;$}O%?s#GJ=>WW!pj&i=t_sYU zV`kOho(f43EDZt_fm+2TZm`=qxtyh5zvjk7x&+e{NA3&Qw zagg75%L67P33fJxSUEx+AiuDh2a=Mp9Srl41X~+xx~RhtnBNy{jV+u3Tz@f%{|0?n z+1WVQ0IXc>+yHhS5F3DY-?pIW^Z8&2C)5_C+2MWfFAL2fPM`hL_dsK zIXJn05hRQqWx!SzmN0+`w#+Y*IuvZD2>|_`|1gmGbMUZJ7F@PiOrXCYEeTo4hZP5>yo;QqoXa@?m^>U>ih$k``ZaH&HqZS zR{&i$7_J7x(*QgmE&w+d->;qr=3o#H2Y?4=a~@7ESP%Qn$@ABTmlwv*2l_SUWakBN z@WFUEIbomQJtrRrfRhgf{_%4E>Up_90B)EJ5GOBe-UH9yYjJb)0`&F&0j515hW`$t z|1|A`O@BM=|Fo%;J?!M$gPDMTnu%5B*CM}T!ps=vTbM7LVe0?#rrK{LQN!V-z172+ zIl#kh=|6n_uYEmWmcK(+-pcG@p$Aw~?Jr2u1?C3!e<;TO&trQMu+w<3i~um08e2ge z0PN2}&tXPqu!KS#`GGKS6-Kmx7&}^8nL0mrfLJj8@-a{a;$Y@t3On8R|DX0Z=*s>l z^7{~7ztdB~7?wc}7QgKBOPfD%*S{yZL%`4q;c=|6I$3raq7mbgP2e#FR_V-*4ULM%vj0E>wSKm2~UJ>)y!S6uvxG-s$W1p3S3u=RL2&}nI)C2fMQq AiU0rr literal 0 HcmV?d00001 diff --git a/thesis/figures/adc5.svg b/thesis/figures/adc5.svg new file mode 100644 index 00000000..7ccd2c5a --- /dev/null +++ b/thesis/figures/adc5.svg @@ -0,0 +1,713 @@ + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Vcc + + ATMega169ADC5 Input(F5) + + + + + ADC5IN + + + _+ + + + + + + _ + + + + + + + + + + + + + + + + + + + + + + + _+ + + + + + + + + + + + + + + + + + + _+ + + + + + + + + + + + + + + + + + + + + + + + + + + + C + C + R + R + R1 + R1 + R2 + R2 + R3 + R4 + LF356N + LF356N + LF356N + + diff --git a/thesis/figures/adc_normal.pdf b/thesis/figures/adc_normal.pdf new file mode 100644 index 0000000000000000000000000000000000000000..dffd6000e894594c8c38e0a1e824a365e737024a GIT binary patch literal 8810 zcma)C2UJr_w-!`TiUQK4hTb88gkD67bm`J1KxhJi5ULcVDM;@fL8^3+PC)5Zx^#t5 z1*EBT@g;b@|GmHOt@X}=%$aXy&pvzanSIvU-(k~~SL6fng9+GbzLcF32mycqxP?7| zq$EH<4d!Hnv;}}MkTwAT01!~Lb3nonnAQP`gvrA!;Z`sLX=wsiBmxF?B=Af}Jy4CJ z6(MRFDjybm%gL-Db&Xv53MT>iI#Vh91)cCmhX8s;L-bo z1zn#TM^+x98p=K|!{rEyB*ZP6T};*)c`{x{F`M)58++5xwm6dhL@*W%Ydkw&4rL>h z-NP?jQ-4R>x3ZzC9(ma-BHwf&1O@a zuKGm)b$xRA?H8g*3j3QO3(_^|6^hU2y28Q;C(&$=x&=eTAbW4ctL?kRrjdl#FP~gn zdRHeMY6yuL+D&W2wT})eyh$2TfPQtA7A7>t{BAI}Gp7U@Grnb(3X~TWiKg+D-AxU0 zmV3t*a7=*jI#9d~Veah9k#OU2NXRT=Wn5UejsK#vO-;;GB|M45*Ua|~o@fq-Jc7W> zsggyyz+uaXFOcGx_Vq|mQ^5QBP;qr2{q5OUn>JtEG9NtmI|uOLtWT!u=d+o5PeIgc zHm-qO`WoSWX|$Ipvqd}F)Oy;&1s`|LE;L6*TSoDh_Jo8C-zK6rIJyzk(Sp~BN0$;O z`}RI)xUbnH|wzUubXz(lc!Yi4F}#bE$A@l}k1 z#e`r@p}=bCyTS$q7HS)bl08R|baNm&+(h>gqlmh>DIpuFUyQc*fbodwdL4OJPpPEb zb$&{4;fx-h*xl7cp0JlwacvDXr#T=4t&ZiN2t2LUBSa$wWc9;>R=78AS-L$}3hkN? z)$6@F9!>aH6=MDE=_G5l`pyzDYWSWi50mQG%{YCVJLM)umYu#TvA32Iwn7lO_UKXM zqaUbeS@9CDT+xR)wODT;A5@m-ub z71z%R#j+!U-DdA12igH6Gb1sHj<$$Oq8<&p;lvZK42IX=eAmK^%4c5teWJ64n&9#@ z+m7MkajGReP?QzYs;tTQzP%kNu(4?b2L%}XM0wZ-i=Ip5hLJW9JJEpQ3BI<0n`MPk zn@;SHxj(RcuqkP8d)#(X)hx3k{bg_H^5lK4=45Ba4_uy;%N9u@k?Jk-gb_?BUGOS% zwrlcI-8n%CRIhSK35;(2wzJ8ZQ_Ny=U9iI zZ$dZoBoKxZJYTtz7WD^|EG3Ubf8h7FwAv8BoUH!JPMAAvUc)9cY>P=}AdrY4b^^95 z_;=d7NOTIG$a^|SOp*ggN@4-bB>)9tIsgGVSpWziUY#)L~Y3(7SL?fH4LF z0ze|d06~Zdzc3JU2?Ia zm6l$=VL1#g4|BJ(glXTqiv|C(PaEb6cSBghTme{LY5&6<5lsKTUKyf)Kgj>~%D{T_ z*SiG-5CMY0|9Xc^7(6ye&};OcJS_X}`xt((GMk2yy>f7ME}AO-j(j}wUdp5k=iqRT zX3RhlY4r<5_SI{);!{__LTvb@J>dgnurgX4`Bi!3*f7h8^a zFC|@n(wl>R%xEBd62o@M>2>>~!;h_1>)W#&SvmV=mr%ZYImf{n9A(4d+n2|Mtw9h2 z+VM}?sK5<5N}1i^F!gGBtxF6U8#m`$k&8a&2jzw55|$|Z)pE{N+HsifPJUzS(L06C zrJ7bP5ciEcym(i`tRMnpHLRt0fpYqO6ywDMtol53ijb`BdWLUf^aT>yEEza9zy%_5 zmvytq%6TeSjrGstXZRD@;Ac()Y%_&cPjB8835dg?GI1ezmogSW>CFAab|gKZSn<_# zC}rFp6TW7#MSU0V0K581ArMHY8syg~Ccf!SoiOj$*q)Fe?yH}f|6&JxLffO}tAHw( z6oIyW>ND`;?0!cVCg++=7mXiv2jp<2x=SrA%$lLoB3_L5es9gkeo7vmDVDyaBY?lI zF_hbeLZ!&9cwbWg>$*>mNcAOEn^5Yv@_EW;`T3qoa9q`ke)BF9iDSPs=|R3702SUA{`*sSuSnqF16rzptaDs+zjxEjOC@0x%5 zu1F3*eQizd_T$<(7%)qc!`SM^Z0|v}eS^Vj-?7E`#Jy>NaBPJ6$m3;7L+-5Ti8s=L zD<~@Ys(M@ykR3dA5bK+j*Vk(n;M>f7Vz<`y&M2H%d;Y|njA?Lc;6TIZVe8r0%J}6- zgNG$|wLKN9I;6!$yR5voCb_kk8`ekTP=In^#=E$rutc9jiQ`6}@PtZ3*r(8|j)!x6 zQT;j~TqX+uA8MLqULNlTQ+hzE7O{{oDU z>APF2OG?&|b4NEULj$iRftThg9@t2>J~1jpO&NcVOuBcjkbbLisAQ)cN=^T zuf6g6b*L&&#}hWhYh_C|jkGM~C54jPqt(Bc9 zy~m-|Tr}rQkqG7O<5Wn{B4NhiU#iSBLzB?mIdDSG2=_~GA zsA$T4%CF88WeVz7)j=+DPIZFGH!0fzp=?}o$C{+NKk_T1-;X9ZWbz44Z~;Trwe$Cj zEULt*RtpvSe9xr!s3q@yd)WIR?Ae6&nEq7G4YqiBZ=pT2ln<_3*5NqxR)g|P!^m-oL|pDIx>U*Jiq^a|4CGmjvQ&E zfmAKn-PJ+8WSzq#V8i8J-r+6&>?~(byVVyBaC(UY{p}Y*{xuS<_x!rFlf6&*Gb=rg zb_q*MsX}g+(+UQuo4c=CERhjses{;&g)8mQs1Z)<>vrfd_vc0JCo5!IA2K06(g z*q1+PY`sR$Bt%R~eJj~JD$>n5GJ`hkO7%_TjDA*rn%t_zSB+{h#><&cghp;_9v@02 z8GG};sBJ702EDyEac|Rb5jAX!v}ccS*9YCc&29Z6g)Xx*-IB>Wl_)?l+J>c$o!6c& z%bY23=EPuT?Nh46XyG@3gO(|$tsivYxfH`nPx3lSJ7w)yaBHH~p0VPi+li;~-3erl zd3m~C+K)%83`5bpxGaXe>{X=64vp_6Q(;SVV02hr2}3C!<_H~y{7=a+pTE^mn|HR)(@8Lat*^sWsR ztAUNC7iA;L@HV^Z4Wcaelg%5^2(>W6rWWf0p5iF7FDEc>aRD7Ud)xJnBlJ<~kxci) zP^w!{=Cne!Z))KbEN`^(wN^VvHrFzw zscc?v=*!0+CKKCuTurMxsP7->>rlRcM?aVRH@45mmQJf_;%PO~r5>Y?rmH{oecey< z+%aYeq4(N(A51tU8WLXZLP+`Hwy26NT>ILys{zC0Dv^5%@@Zdo?cdDUdYtt8JVVpm z>Q#GHN)i$1V678$Q+-=m`Hd7~7CW!6S#<-dI&I*9`b&&Ze+q1C1g^8BgDPs#^|uE= zpcV*D>1E>MyXTsK_-NX!&B5<0C$5(pt~c~{_HLs4Q7bJ7e=l>>w$Kq-lKJ{$@=rx2x8(}Y1{|3-%MbA{l)|zQ&8*kUjD5)xl{?f27aq=hi^+g+6Y^1 zZQbnAn%pQ*j4V;r*cNt3o*Gz-AvOk(?=PDZKd|qhA+{f2&!Ty@U`hT|t=xl}gMu(i zcW{2Oox61GT5yli8hgd%8%Sq18KDL8+p^+xk~qEjy=&7sQB!ZWXT)24RNCXqY8xx& zbw@wyj@_omkpl>TS+%bkr%>4EjHlKY&U;6=`L>O@&<1|E;%X8uB6#C+%M`$oD5gZp z0kYiLW<6#D*`~CGma6d7?o*EB*w=jgVYZU>UD12PwUU75HEtAb8U1G!$IkZ|6!FPk zU4%j!>4@-8Khs>*dU)1gQOyGg!>w>C=d)~60eb1P(K5Ndz7Qtmef;Ae^vC}H>JEq$z zdI*WqZ$9j2#Me}Sbo&60Y_~@0U+pn^ruiCW6!(6QAiVy-ddkTpX3>?|68x=O?Yc5A zQO!`_`@t#cjN$NE|DO-`xyOd$>_k`6_R-;A9PY|kFhZfitEsM3u0E%b7qR`YlsT@Q zZ`{UsyEJnzsRURh!g4*i@dlr`=O@l)5Px7NZ1769n|jaZ#FDb(qS%~4#>_Q8t*0l=i%s`9mibre-xq^Co^`;}C z$|_y95AwCO50+=#AQO5+j#{P<`>!YQ_wG@(!n#{dVj&*i=6R0tcTvn_UBP%G8Ey4O z^~e{YPeunVa>nY7fwpe~kQ3ghLNjXWUulHsqq}4jk zvUXS9dT=`q^?xW zmt4#6dyM2atWNlj5!|ZlGO?dC=4^5nMcA_vq=<$xA^PH~&pr?sGDY>?gozn*S@+<1 zY5DQdPLT^IcUE!L>}xc%SE%T#`h`di)<}GC7*$}M?n=uhYUIgLaAUr=xJ>7&dxK#) zle@a9ua-T4kRrqS`HLz-RW%|H4Wu!;MA89*bdJkU_dTp|kTWggT{>pFbnBBAei-WR z$6dGmN+tF?Kv^oKh{tcA*S!L!;xqLGsF1N2D;vdf^==(TLM`Ksr}+9T_JIBdk~D_d z`nJXsrKwS9-c{I0OsHt=?M4s0GcATXZ%1S2u?V(XMnlaaUy3MfWGpzSk>y_u1+t1> zdn?+7&71|94Cp@)ST_`ykv{bqV_KP%O6s31Go567w%@UfvbF8W)8BJmK5YzjqL}ih zQjwUS^O(<_pWXrT%f#Rvwr77)PM_2%Sw0valPcEGDBA1=ua>Yy3J|-_Q6X&cx*>R^ z{0w%uXixVw0h36zD1_HsSfXfG0?4R{jpl*gWQlQ;zMEUmmOyLlQVXg_x)~YjOH!L5 z^v3_;H4PILBC&S^wU0Q-Z$7hqu>mstUOhiOKOG_@rS9cwpA{OLFNmu#SCIQH-8*kB zK9<>Ys7U;kWp_Pw)Do><$f*0qA zdDElvqd(jiD|lv9*75ydG)*$+#t$joda?BGXDcFIaU)vAiU+prW05b9KH<4LN4L5M z@N7{?ktoy(K6g?IrA`hCJJ zCL9f|A%yKaak57=xjH;=Z)e}(NF&C#jpSXmHl!KJ7+S_Za%_J35M3JGoAg!R>}`?| z0edH1bH4Zb`j^ccwdMC23mS~< z&V&s#2p(BdM)x_}vwXHIy}IZjng6_Y*Y&FYSYLIvzN6;65p$`9t;5YzmQBs9U>eb2 zk43?=fYPPVGYaXe+^66tS2dMg;X+-73Jz>gkxVj6_Z2O(w;KzzW-s|(HpqZUMpE(P zXRm3Ig0;MLC$5iq*4~(m%Vk?Mb(+ZE(*kE&59^cKKgmnRQ7zQPJvEofHHYYqszICW z^N&Imoz~W_xw6gXo?R*R6e0>{_Deyk>pro65}3-}_H%@0adI=$z)JwSNj%*i?u<&!-$erQ*j_5k*)dXI~1?B#m}^uS|LjJ~}V@%5d)> zYC$OYvp=)fRKewA8R^ZBHjjsWB=ppQ<{aNXFhpCi)qeeXRI;f*8~Ec(>lr#UTfu^D zeM3`}>ov3iLA5d;Ul>94PS7vAP8D^9!waAHmecEp0c51aYn*!8Mz16lKDJ+dwm!?$ zcL-&+%DK65%q3d%{>e&CY?o7D+_-)*!xJGoZ;6vhc3NvDm3!As81iV)pUDMJH#xP^ zl-T4LWv>+$y9b!N;U*K}@J?SM_4a>#sY2U-)2vOY!I9^QFG-%!rF)m3IOWM(B45oh zC^AM>Lq&PnZ$i&(zIn+R&%Kh@dQTfaNZwbJ#I06k=P6lH(b8!4l*6@PRHI5+QZ z)musc__Z!7?x8yRCyq=Z?#RVD)1xf1*4xj1#sns@`elSr&Dw;%n3&R_pS4*G{`#sT zm|s$cU`Y8)1)XGfwBvO42$Gp_6ioQDieQMYYlzKHabCta*s*})MXjEvw_xT8SU)}X z$d30stwTojOdg%ZTC2a*&1be(*+D7b7azjG#$dcg-i_XE=X6Lsx);i*AIwZibJ`E|7tDWv7{*d}sNY_g)5xzr=HV))u{p zQ??eaN;5Pq*&zjb(|zvLNOOy?V{*d;T?6>gu&YOQGQsbofbJ(dRGRzJW)$i_1*7s; zYBzQFDI$4PsHzUxj;~)*;8Acs%Au%hC1I(hLKDs+_wEuojqQ06IwjQS&9K)hmDEBh zh+n_%TFb0?u~yb@^W{j5N*Z-s;c0|k?enQT20HPtB(&ZP>+>lg+WUm>64w!NKZ2Z= zO&UTbLNY)sf<6hmR{1f4g495RcqQ0CF_oJTEzWVSfu7;Wuqf@|$f#GeDC(FXlSADv zF=ES%uD7R0^MFGp%nXWZY_lnHuoA6m@)3X_k4? z8dKkq!jd@9cFM|c7JR6kr-Wt>4JM;fF#))oi}J3wHI*g{>}iC4Wqm(b{k*|6+2)-@ zjztH(o#;LEmpA)g#6)KUtCRVyiQ8u9JU8DcxfFNIT|2AkNNFAIR10k#bqVSC{0-LF zVW;B;;v*~Qn(fe~FPL(!>M(xoq7C`TyT>k`58r4@Z}(h65-F#1y0j7VgWg4mSV?pc z^A9kL;YZdjiiR4Jbz3)fPVo=Wy?UW0650El#1c91{OyRDS{-!eJ1Mg|`a5Z| zIQQM$8E4%qk!qk9G2;2jF7h-t7%9oy30viY0&#W>5FNaF&N$-_yMZxAUi zkc5Vk-J+ugq~lPX5ROa+n*}WJb$HTt#o#TmcDXMu(1mBKgm=gWQ>&+jB~mK{=2#4~ zjPQZ9b6$wovx;9Y7Q+9ox6UcwB%cORnPN36s)G=)uo@x3s$eaVIQhW{dnUw5PbdyU zu6{gHeEqJnY(9&U6>*94JiGAO&-R<=mTQa+~QXB$o*&WGi#VTPk%wU~o$)VG70 ztmk>MKDG(vQJe0&RjrXjgJid!it+Xuj#xZaE)#j6vN0e-TwLRy8Weu=fQq9BkFWJH z)l36?s489L=@m|Vdf9uLH^c)8NS^lHQMmpZH$lteE?=fsh{jWIB;`vS-KVUa;yOU0}KGXkdt+_#F7GHf}$5) ztZHnZPf!SQ0mwm}m0)%@wiw<3v&;pggM>Ni14MtxV>?Q}JJ=`16y;%p0Bqgy%+9J43> i^JNJ3i~}zA#l=o@MM4qC3y+I}1u>tNuqoVEB={e8fKAZ= literal 0 HcmV?d00001 diff --git a/thesis/figures/adc_normal.svg b/thesis/figures/adc_normal.svg new file mode 100644 index 00000000..97e816e5 --- /dev/null +++ b/thesis/figures/adc_normal.svg @@ -0,0 +1,323 @@ + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + ADCIN + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Vcc + + ATMega169ADC Input(PORTF) + + + _ + + + + + diff --git a/thesis/figures/atavrbfly.jpg b/thesis/figures/atavrbfly.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8f7a50a22bd3be40467982d32dcec4cb89a149e0 GIT binary patch literal 30473 zcma%BRa70pvOT!Fd(hzSP6+Pq5ZrQbcXxMpcR#pG@ZfTQ;O?5Bk9$Av_p4dcYu4^r z-PJwa(^a+WWA$SjfG#U3BME?j1OUuFFTlq+0OPx>sjVjf0ssvF00cjwO8}~vnZ1cQ zz^wlhf%pIeLIAMP(9kf@urM&N2(X_w5?84C*= z8w-n=h=_=o?0*6Q2M33OjDnAjj!%e#fkXJeivRD!M?U}q9&iR>2nB%wfW&}+!hrY~ z226b_2nPZ2S^iHTAfcdPVBz2)08kL0@<04@B>$~J2S7qVLPNp8K*AwIBf`V|2gZN~ zkYmEIiNUHEQ((b42C`%0Bo;Of%zaa(#N9D*3QB6?pyH(F!n>YNhQAS4Gj$GbcA@!N zG&r=NZkEEm_dP^HLlXZ}t^YI(4F?Ma2lL;KAs{iJ$k{NV#Z<729VuY00}~6`O|bvl zZqa9>JCszMIIy^byBuoHH%%Yw0AwhL&!ItK07L)}#0i5JTCv)X31~ZG)T<+t*ij0J z%zrGJl-Q5OAlpRSRVv~{Rm+K2%5Bzb?nm6RzHuZMRTDLLpS*M+yG=t)IJ2D~9`(rq z6lrF5N5-UiL6h-~sRCM*S!VfV7k^%_98JWkPQbm#%G0;Tc%yuanEhK-2MSF!`+A2@Ko zAHxU+eS6Ki`(lHl=ZhL=6}p-v{T-kt%D^)F42ziqI-ALfEO z&eqWCf6!fcXu6xczgC;Cp~qi){0)GACnc**fBQ-AWrBm!7TX#WRKJ4_HS>w^dufD< zf)%$0!>0-iD3WE_pF~JsvG0fJ$>wV!kg9AT#Ge0k_!FTmaN{6fq=mYqXXW4DF8ZGs zds*~TwykHyvwM+_AAA7x;L6b}fNkK0FO!b>W_PHhqXQ?|hykm54duBa7HSi`{zRzt zbB!9%ZeS;o^7cLbI+}ympE&o`_)@l@Qo)y;Y5$h#_cjt=dK|dKgDDn#0!rqHCJp7kEVWj5Xp4-)R0w8)bA(2L8*e&bM9)H~aut!Q5v9$^A^unEg4p zoUfKbFCIfhxubm{ge^`3RQou%{5G|Pe$u0r3>8aF8(5c$w8$A9XleZk>ZzeeU#Eb_ zHrV`)sJoz+Z)fT52g3=20>$Gw+Ovt$x`ad3zlI8N zo5HV0m&X!xhs$}hC=RFp8W**}5ik-LGcLPlDoVTC^|t6G4d$@v?$B5&nrezy;fVFw zBog>OB@kEC%8C+e43DXUz&pR4=TN5Z0DtS07ZVUS@xvK5x{_h9nGl{^e083@cTr%R8<;>Kq)!8=_9zifA}3A ziY`m?2m}?)Pf1UCfLr61a)k}URdbXhWq6&|vIr%ruIwx!Oj?v`5nXt6^sgeGr$0d? z4D54?HMtBUl9|l!G+t+wMV;CQ>{8fMdUD?7J{l^9+Uu>nB$+hw z$8YPlyk>pU>qs&>BM&|8?0Un;lQJg~wD7(x5_|c6G)tY_b8U}zNh;})b%NHv&b(dM zl5iNE%PiVYrSAw#i0D@!$QPZ6|Fd&p6d|C(o7!PT|L{Zu^Q}8KE+MQg5 zjx1LtPT1}_wk8W5TsXrs7fbLR!lWteTbZt;Z$99y>BTrA-*pxST0-mn4HU=F1=%W# zf=4yd@JwLs$Tzol1Qst|H=@Y#2_9kEJ|)EkxkXLA(8FnGT(CP++BT(oOCBb}VJJTo zEHr!auxYS8@2IV6jYn?!9-Y{UOF9(W37N`M8+_Z_ea~KAFtE#o&q}$4E2$>_WXNhPtS#fV6QywTPJ_4tl-XNjGb{H zHg-sw>jzSjEY}VINyf1EOTRm9* zH>f`DN+;re3&{1&bRO0FrF;BM#=8Bln=^y0K-_t8wLTu{f}3-lVDda)x!&c=TYg24 zNXyz453ZiwCDPWIwoB2dw6GpOW>(PS%~(%Evz?yjgi1|u;@*|LB3>~L5B@|>!*JV) z(2##}^^-TbC-d3-wFE)yhNb%TCL7^)oQt|ns)VaDp#x3(;ig_&&8YfQ_3ZS>KW_5PnWm(AU^tw-|C8Ke>Os-_fW?Y6{zW#=)-MjklMZiYzkQczmjt5N`? z`$e`xXGd#8PuQjRH(?uIeQ8swREUX1C=EWx0RgNS^{gUeq~9r7inSKXqi~-=dQKE&wf=3ZEqVhTD?(y3qWc`A5XY;*Mru4 z1MvOEyzYSQEzsTFTW}&oR=>mnAX{OYY?(>iu?=c)YPj6fo%_uNMf@1vUAI_miJ za4lkHCZ;j#=_OWMS6R^h2`*CL&or2=i2DF63B=3m+J}Fx;5e#(UDo7ycs$966fwVu z2GGY-eI#P(+5XrA=Ua*D_#YhhbN;1S>!s*W0W3XZRn@iTdVB|_VWa`$Io*moT3Jx#@ zrD^Ek^PtcwD0nv>4cYTC$!Jivx$odf&YMyI7$LvQg_s4nH&c!m}vI9Re`+|*AJ9(NeVkj{f7pQ4<47m z$IS_8X1nfOJ)g8w;K;D%U3IE?Vie;&LBP6#r;|IgN%3g7;?|_2y9m9DZ|dHL#bqah zC#W&>;RB%Uu^TfYMrF;PTO+)7xpqLGJL^ z@u4(%JALhrT1m&kHf(Npqh@*OLMGk`?dj(s0BsILR}Lru=y4>JD)R7}89=0SY=$X7 z5nDeRM?wj|LILW9H^KmHFZb*Zc&d6pZcwZx?sTzZmI```j0=7QC8StEM1ZB2Gs9ZE zxILEzI9tPMXlZ!6w-bboRhExeADES6m&pYpWqq5SI;aM6;p*UF!|Ehu-+m>2BF_N}6BaIrB3O)K@+G^e$QtFR_9!29JKT*0eiHs@NfyUl3)E;tV#@zp>@<*q)VJFSW+n%PM~W#xCs}VwZ@vHd~&%nl>({ZSE63V7dm>nVE6jEdlvh8q(_R}ocon3vz+o%HTldM~{>J4Ekq$oXSN zP!&xdh!K1n<=7<^845jQb8NKc(Z~P!mB6t5#qW`;MR6;w5}{U zn)qE)h61whCa5%w!Rv^mwN&e0rP@I3p#UqLT`m3u(c^={JiN} z9Mf$0OKXbX)>lRFaA&N_>?SGF6${&hD^#8bfFDr{0G01!x*0GT7e z8fMwKm@U?P6@#REffuB=4ktDT$a9PI(!ne+cmm@t2^_5b{W60uSoy4A_ zYUE9#!)BK*!7-;O2;w95qAQPLvk6sFor<^0w#nMGW2Oj&Bl!}R`0EW@MJ--rDl4@& z>u=qU-ltP3$xT(=_U{de0eKkfx_LXXdLzOM)Cp&fBl=U)p&b``b_eir@9P2)i>FGg z>XjF2!`_XlVAiaXB;UQ*aVhL?jjL`#O)5;eF^;mWbL78Vr^4%Tq9`2W&6rC))v4pgj$Dv- zr|;W=;>o-~+;$P?#ukq9fG+KlzD+&sw$Km(Z=>WPS3zFx{VmumellvkGp>@_lJQoA z$IU^8CTE72ygZEBp!4u_I<BJH>$*5w0+kk{YV!6-sS_PfHq(2y;DwsLWT!#xYpyW*JR%3~U-mMO(^dhuy;`2dgQuLQ+L^sMiG`z)M=u9X7s5Uo z-kAc&Ivi2rYXG17Hed`R0P>Jw3^f?evJVhwh_e#_qd|bki(CV5&KHD6!N$oXJzFlh zu7^vcx#!;kW?TGuVJ;4!T0D+ZPoJzeQFb@QG0BjaU`U#LLFrtYrqRC8V~X3PoQh?d zJ|9dr)bB{M&|Oh1PO86Bhu929VvDxt6K27sGjLMhmd~wp{VCvjbguttvy@4k8mt?X zn|E!PL|P_o&}rvJ(Jk(9{HU|y(jt$_F4d;!6#7#gyxKZ5i{9FuYm!))T9SmnrqjKq zTm^%p+yec(jb6D3!&ZP|T->l9mLoEmeA8&muBw(`aRbHS7@7~{K@l-w;sn@6yZkXP zmy03Ra0(z zQ5(O8t(a*USXjvBXly^#LJrY=?rR@gRGOQ+Sg}~=OTzB*Bs)rj4W9j>9IH{)6o!(N z6{l^3uUT;A?^zURFI)kVFj)5qTX1*AJ*HWL8IDCZG_j(mTsE7sA&(!n&E&P=ZxHYE z{#ME2cqY{ZraCPFZIo@+)=Gd!2h9W57P%uuxQtb(Ap-!V3W^@;7o1Ddi_SkENIGYM zd7h6iV4NV0D3~^DGhI$w<{p*fZ(B^1T0@g@2;xdu0(f4Dm5^w9c9vT-Cu?odEm24v zwHBRmGB8t#;BdNH>m%|Xd6ZS%&a(($+hN2vJ1Zo&hqAL0T_Pf2+mTb$A>W~7r&|oA zEu*0onn8PH~FPpxg5ZozC`9?3>0K={#u0m24 zmApOM)>F-7_n@Z<+rETIYHv>k-9#L&i0_3~(y}-FjhHS&ku}un89nJsY|9A-Gbimo z6V_@-m0Q`zwNeBG(gK)^IAJtQ*In*#ij}Y!@LE?O@C@5px_+OeHg#+1wF9X(U`$hs zlBJPl?gbv|(Y z@&Q=e{s6>DJ@oxx5lTN1tA82$gZ2TaA5wZ*_yAls^z9|S*{_nFHNDa5`gh0N-`t=2 zimq6C8Cm|WzxjRol=ULNd-_%xJ^=TD zzusrnnxFrC078S``R-nV-@NyqJ^;q+MK)Dj!qjjVIAfFJD!-rcJ4=QQ{Oy}|MvV?l z6e8Y~TBf_s-MwAdYd93~CX+;`0*b3+#Rv$)6;SYr`F7V$Bz$mvJ?8al-w!}sEl$NV zOtluV`?0oFBFhS_IFrKXeER*gN}Z;Ml~=O_l-ycZ^S_vx_S6Xx7u#!X4GBJZnY#fk z)rtynYwT|~4ahZox0GRAd`E;;1ilyb++YHhqNaZfwN%`q5_Q_x`5dAtRW{{As%%Y3 zg4Vijmvkaw{*$?*z^S(M>})vGV9HZH>!lMapX)y({H3RRAv7D-O< zJn!^CjiF&J^#P~{+Cx%I}A!mLAcyRE_RE^(A@8kyai&J}Ws zCKOV<>Q4$oQnQZQO`(%qZ~3m-&R4v}ZaK{z!L%9TPGxg&bR_|{mMsmN zUKS#61-`h}KIFb8uL7D|OIymg`J>@bn+hz9hXYU~;jqU{&Rry%=$^&hSKVmq_ckvq zEH=z_fYUY1hv=Qr3{CthsD(STY~d2gY|U<5sYV!DL^C5II_nRdn$2(Y%e<<#o;&_R z6XY^<7>T)AEwrwP%4(1@h!@8Xrg2cYT7Bn2Voh%>wk_Q<-4E?)wiR1k+DrK8r6sP* z+Ho4C4i!~I)z_NWsRlCntp==V=z6W88|ll-llBy)Et5d1s4N5nEZX_8T~4St?Cs3j z+|5!P9S3rkxPxYw_gQE2E~P(o_wHw{;Q)RoRv1)OdtP^v3_rQ;h> zmIGYkuo9F)?(kfFbGw8xEr)&_Icb(znN>E>V>VK+|DIX_--4djZwga`(CnhfaUa8g zx)t%z0~3}*WeCb)z_UguMI_`$khKL51)SQ|W3EV}p*@}|Thu)JB#AnnbCM(q zs@*WMEAa8QiwnM6Cv4A=NZHv3P2h6={z?6uL}8Kj;tu#SozvDV<4k=HvN=38iR;ya zpA&@)X6!IMul;tp<#utlgrH&OQwnao8g!nMp=a+ZuJLlXpLywaMF~m2Fy<=3O&oK0 z9LU;l5T-)O#nUwHA|d)T3K~Fg_52sz$ZZNNa zL=}+o&8Lp3WLKXDQC3KM>9tskvDGlU-2bgeVdWbUmJk28SDkRHjS zHvabqp!$7&&#m!(d93_VVgEck7-e4{C(s=cf4U`*gNCD8!!}OOX=CENuuhoJ&(QMw zQUo~Wz7NOa_FWA)Z2D6a8`&cb6CT`(>G*{W>L9F{W;Zb@77oaM4a9 zO}SK~e5@OAtGw^iO8vGFgE=Y1A!25y?sJ8H#29;-uliWc1)d zC^m`5OK%}Vf2fXSA)_*yn=ww%{5k&9S^fJJ<#Fp?>pymNKcWti80dt*jm{7NepIVl zA0#Y?Zk}w;k|5F*OjV`7ZM*wY`OUCmPrgiu<=;BoQ@FTgZjLRUc^|RsyR^hJSm=Ok zi@fic!ip>f5DwM#T`iU`r+T<3lKV*pofQq*T{zM!TID%tv!$=29sX!g z-}KDa&dFIcF1A(L_;dpfSgSUl76rB!p8SKTLZu)u!aJ+_aGcgo`^3h2^pL3SN8@r*SKYu z)b)BB+bYm7+K*Yp7~$luRa)1)m2#Q&tCLONmv-8r6M>$fG41n?PkM_uR_fu>Xae8G z_`YxG9Q)0@@eCT6ovfDGSNJs7Q1LJNL?nEbxChwG9xGsY@JH_h&~4#R$!w~p>+}fC z|HtMxHZXm!1?e=9 zVHkD2H-=K_jJYn=z_I+|N$4l#s7{3o`B+roW>Y4*U3^F;M>=5C)@2}#n;n6D;1+zz zBu_7w;Y;O7K5_=kp-HywL{yK`A5~D`JG~r`asKwqdn@|Zvd*A_dy2+#hQWjJ%7~rL z`L#Ko3ieE>u~k!O+IEf8)4SC&ETtpqQN^AL<_x8z0)_Mhtm2Te_bP}WO*%s`=MA@a zMv~2Lx~cx8U#IcTO-kj9>?yS>0;lD2?KQy@%g9?gsG;rVF(OG_>4x3rsE^ms(fR;a zx}zffG-6{Z*UF%>PVkrbD}fs!Q;jF3g#B5$?1ckclF$i+TFEctT#ZWkP!CkjI*+)N zxQM|_5|)L_+TK&t@V34UpF}_NB)ZVfCdqHsZ0%AO<}5@E7`j?v2=wf+b_>qhxVg2j zdojEEnZC%aDr$eC$(uvGu9m|cHup}tv}k5+f$kv=-c7<}X0nU#7(yA(9{`Fq>v)YL z#;*9xyWHhUmxV#Tr_8wQrFX-J%stKZ{tv(@@!s!Ek*f>$$#dSHBA0buz4KB^6e6Vt zX0@qyZkt~l{&f&5o@hDS{)+~80xv~&UUaZ*Q^(5mHk>_lK;F=?v#`dA^Q+H=J^=I|fB`b2 z^=XH_c&@x4{H~=321LaAvsThsz;G6pHk7iY6a^YGmP^8f9BRr*?k86b=AQJHi7O(c z9XwgOu_DIO65<@@W0z!Uc0M2a&gp5iysWZvA>VS*7S#7(%v%a53(+#*xe1^@ro5b@7N|c^pX5C-_yZ4D*!g83^*I<(smAnvwZ;cuHPe6|=jdP&pTLuMc4{jhBCX z&PCgs`oGHlD~8FH{KzMv%4fo2 zAAnSDVwnAXLhG_T&e=4;S%8^*ZhO_WoV?y7h;_i2{{no3}bGHzipZif8O7B#@4mmf`xbp z{#35^Ox*i3dE%2#2b}FCh)i^OeE{rIV(thPtS|7T`FjFB01QlbbvrB$^L^pS26p}6 z`AHi@BLziIsJ{(xdJQbMP2{8R`d#UQP-kwiLQJ|J0Q-a|YeQZQRL~Ey1?m_!qL3VV z`s)1cI(*kIWXVHPTKfw#prP)s)NRzPcX`<3`}7ibRDQ_W*38H{jZ$n(&%f%(rj8~S zTVJJDOF7X&glXdAfz-Wo`lD>x?04cl&P$E=AUXZZAHi;amLC63!&XN^%scN=;y9m= z6&}23`JKk23XS1DInK2mj0N_$`NmB=6+P!yurO=-&P>-9gCg^qs`d2?(hik&PU%~( z#?}mbXbnJi^ojmXzy5jXs)G1HQRVBhC;OBOhK;VmP{PYCpQMI!u+s-%Soht&fsy6X z?sG3|U-qvHF`iD`cyBg}J@Sb}BRRj@thj_*zV^)g{QxXdj6iuQ^}up|^=yXd2qHI) z>WaH08~W060!qG!e-mv<6Fb4#z(U0Rv!ng1mLRm41M!+b4U6lYnd=Q%4y7e)QuT$3 z|ML@lH6!={Ji%9dIt_nctGB{!F25k&C+NWZ3L*JttdIjf9Y@9rnkSj`&=#_b!v>a3uAULb&ldK1q6R( z@wg@zMaN#gshvunqs-}FM}8gz^#zQva`6(ceXp)u?T%T%GC<^MuiDN?{S$KL*$?I)mwBBOgYl$HVcJ`J)j z3RY~ELQ9dw%i>v2E8)V#zU|1TSnOCDJ7TIH>dMBUlBLiUoQoZ|Wmp6l0Vs)6*Q7)J zInu1Ld_iM(QiRDN+*laN7>4T(d!v~@(4&}O5GG>*G_8}!w3rdc037H==YzEXz^IsJ zsdn7Pv2FiO8KfE~&ZAZa6#u~(JT0HHx}`3mO)ZRrI^^w2IAHCRBt$Em5eI@1Vx}N@ zjCqO`;*GLjx$TV|UhIAn0OSSy0w>u#k2=>d+&j3d2RCtndK~}6Css(<; zIAXMBSkd5Xb+s=YTJgJs_*qL`@q~{K{Sb5Emwr$0$cPaz763a-wzai1QWH!B*l3kB zpDu4!bz1Dcxdy%fID2=tcBM@;t&4ql2RZ)w<{;ICU4)qAW;p^P_u6^~ysz{TUnxZfI10AXUoJj4-|j7QbtELIb~tU2E{L$j}c6?@On*~yTMuQ_<434yHqK?h?{w-b|Es$LyS2%fT}$E#_6J1=@S>6ot4vi46aIXq)hG zi5ojF{j>VQj!N6CnYbTZnj^BKzBjdjke=5M z*Y(eOhMpCQCk-U>3ITz zTXzE;wV`;ZDVhUMofSIC_La=D^W|*zp3<*UO7b$`v;kw7DH&&^OYf3D%&;e4GRCQg zAC}4vs3lrS(Et*N?7IqVvq(*@sxD*1djG0-&Kdo@UGjl)WEH7B zZN2F>&xJ^bO$58)(*#y`-5oB=DJ!{rrgwHrLP5F7Q*@I>8qhOpZ&)p;%(SNBojel;D$6FG>YI zH}+M;0=H!9)bHd3$V|=5w^fWRc@`#_BGesS3(p-G$s9VB5pOt5RH!$w}WaELHt}Ir3{Lp z%@r`M-1+8?Id>dsmJ(|SDCb9!nB2IJk6MZF^pivtZg7m2Vc$G(26$n!{J zy#RZZ*xSO^Pdj1)hR*i_jq-6Pjt8y{2P}Vr$39Jt@V6vCc`aR^H6hwt!~WiE(1D(` z|Jv965m(FU=u%R-RlEI7Wovjc=caY%{ zOGsC)n1@}aKB}8*JG>xUioANlw2R~j(z!C{u7{UCYK_}HGVKO>>#jk zTzgRVm=I=urc4Buq-9JFZR&cB^BAx-N9&e@&o~qoj(2ggIx;nVj(-RehIqJ!x9HW>TKUWJ$ z?9CZgDRm6i$2z8%YU=DTC}a1{m`iOQ%*~BZb#8~dT*Oq)b|k;t)=FYaTPD8&O?+j0 z>--mMc^C7K6OQ8A_i6X7I*FKyh(qO7=2M9A@@NmbGD|Fq2oJlxdAHdMuw>OS#@H19 z*x|_iw3C5Q)jxV>$h93pLBeEUr3&Mk315?!qZM}?x0a7}E)d#7P{-e-SInBv%rX~` zA_Ji4Oa6qTC&tGF=-s~D;;R=RP;ylBAGYo!&SHmAVLH#HS*{sQ;h^tULIyWw2J6c~ zqiYhlUE{n|@cyV6H&FV~atel$grox@qELt~f|62sI6oII#fdE~?MjIKI? z7~?m7wobagz-|Fy+%6k?@l?sc4kk4(%opEc#P$Gs z5qBI_@iK$eLU=)MR^{*BMSs*93$9Nxl2gTs7LXRs8AF%TctD$c?zZMgN{-}V3B^=) zznUqfaZ0XXZ->-0H3qnJ17UdVDmwLStvl`H@W0<6lzLaoKn|9brbzBDDw`|flrcM3 zK}`4CB;4*j?!hNgjte)+gGdWD4Wz1n<&7@wSis1r;OqWA8gX1hj*Kijc2uatni?T< z$2k&@;;Xl?=466gPA#nG(65$t+bQPkCvjB^6ht*i>Bp|v6x*dm;LBS##z`c(Evp$3 zxFfj3&q6lO6em80gRMBqRbcJ6SG5pFWUJo{WP?!h^_OaHSb^~%59EvT=0lF(3Z$>6se{I%nau>RLYX^dG*pBh zt^qRj;LR|8Sn5)`v93gXb!ZGqY3!XL5CB>+xgQ|H3_yrnC{;q$7d~f~f|13NO%@l+ zXQW|cKe;sssMAMd z=wz5_y%@EIC~MwEap-RjjWg(GWlI`OmnCzz5^7J2rRc8{hxU)Y)4LCN_0ZU!Kt2da zr+ZFY6IZzY&b@E1tB=q22THo zDY0tvm?h9B=}Xb7RfVWl=TWbxipg+D8218Kel_XNG*eo@3sxG!=KBGN*ZG63H;2dU zbL7cQ>O|?`VYB87Y`@t`86jMZaE>Zihz^#8H^a!7$u$W#gO&n-c-TfWd^D!*&I3kD z#$s1573*%%wf%)D{jJjdl@=C=!7P7Rhc~=zR_7~qIN!wDWMD=QJYl9o7w5p^@F8Q2 zs!CttSeQ~Xcws8~qaKO%FXj-7cra;4nlw~pb`qd--ZExr(>%$RGN<0`$zdDE+E#01 z;Ref~`TaIr#VJ&3kK3ujZ6nQKv?Zf(GfxX935h{@yo6Zg%A6m7l8O9y_>dqtm0ASB za71(`(ka#gFd;5gfE0!ikQIh6Vc$uH6PqA!KoNV;$^zFm_5)C1VVj%pYAbDeEmRUH znXYJ~;iDVo%8SVYlaaje=7#|1t4WZYS*j!w3|L%ZXX1Bv!&KmkNp9VE^fJvl8LV*U zLJ_6Ap3k6_)0b&@z^S%-A~HFZi*|)hg3v^Um~6@l;8n+ioYG8PN|=2D;9H4Rqe8}R zq4=)Ko1>{-CV#sDwymJpdV8QHI+ySVm8j?zB-Xbe3y!8^79YPCw7S@1r2hQ7s1|34 zHV`JHvQJO<^p>Wc?70y-F*V4`RIE~&n*lizRm&M1!Xp*f5GG+YX~Bn~`0Qq^9OxOR zaYgKW@wfo>L!!4A)YOvX9qH3fIhCb;`wLNXmybJb14nN{yZb;~)QK=?B@7XY z!|cKD*zoG-MzJ2BVu=in#-g*hgv|+`X2(w2`Yt_F^&;gLGPOXdBQsR>sRc<-V}x-h zuC#FqQQVz}&M3hYq>9H!#G660Oufya3U|42JhN^&v%nZNG5QMfl!Ut4(pH;tRBiJ) z#?ju~Qw0V40%YE(a$*0uZ4{M#J(u?j2Rkym?hd`4!A+=*PFn57#)25P#Hj@cc72tC zoPe?%D+z)3SLhtA$^fFYQ|aAX$ID3wFmd8BnH>AEH~*oy$X#Pf^QWC6xwcO%vNKqq zzNGUTZ7c*PhO1VDe3_R7GhZ}TDvb-{^!qQ#+FaPO8;9c%>&%!^eT<~Ezew?XBq~2lDER^I zT*6FL$wP6ztG}lyTylN8bbt|tpNofx9rx}h)fmZ0&P?jkmdX#N|R(4?$a`A8BdsRT*6l6FZV0gpiK!pWuX7Er*jGDy7L3jAT{Nu=3;0Al1-)sp3_a z8&S-^cb^RNNh*^14}i}f(yyXqPFiv3S=U*~(rY4}6|Tu_a?E6(F?}|J}RxYr8cHVYF(mygqG*a?Hyp z2JOVd01EdC_~`NauMO)&WL4_~K!Kg6JpXbBbM-+QwtNUI|4%JqK$&$@-W{pFQh{1? zVv(ta-nkl2$_;JSX?QED)1F*2!d-^NkZ#{ZRN}QDc`GQ7%Uoxw7waG zitOD?#y6D8@-G^d=`DA&fVG4ZksGFoOf-@3n|zdDi&4=|y;vFYE^BZIC0;|rTm9xQ z{Xm>@yTX7DGhjwCk!C7XxYb@jaFnZ%;!zhSomK*r?twhqPbVq=`_8^b+_gX%((ZQE z_8Fbb?wRnWWc}-mSl{wN0X9lTQvhPVAM9v&IL0LWPdOP`r$JLm_ZqW2U>nZA5IJ~D z9UfcvL}NVMK&4EwoIi7c$vh{t3@Z9mI)nUUbqj7;3Vk-DQc8tP*gvp&rzJF9tJ%Zgo#2m_OO#>5us$Q}urNZa8G@_eE<(>rbSaBvMTpk9V{U zTaVRMa@0BVL37n@hCi`Ru7x0wX`P3ZGMPDDncjGkt(*QZP6S(F#3bpWsOc(Epe+iF zQ8r3NW>rlpi%2obFO)$kcH||lgZ7-Nrj}U5*PX9M5unURrjCxzwS9y&2EHf8y!J6ST*SaGFf}=k}_Nq`TFgbg3bFJy4;?LOg?c}1^x*K?aU)d4_@oxwY zLek*)F-aTeOK^n=m&3tf$d2kUGa9dZ&zu7)C>u?X1lID$cwtf;d9a*6xRtQFVT z){5dJVR=P;C7PENb&k%@hv-{a?AvnJt#+h&G}~xtFVQj2t@Eu0R8=(QpKw0_BL3(h zRCCj1wu#wt-?a1qv?%I1O|$U8MeR-Qzt35h7#4}Ljg@KF`B*T3tT;j!5HV{R%==pB z`Xz4T26&NbNH^@)e2L|wF?{+S(hfFXlA>!r2geeR=2^S;;1AeS14D%!Kt?N}hE_1T zQWvDSyx07gTT651(T47BrM6;}mATrG;MrOLgDUfE8}}ZzyVlAFKs_v)tBKvy3*S;V z=1f0gCx8@ru|mTL{tEg7P&kMKaUGnGr8f0)J0v<$SC)qFrZe@MY0(DqAF^z)Dy*49 zscl#w$^ci^Ijm9ooK4$yw%6kET-M4OID}Xhm{S!~b$5Pi%LG2s}mVPv;*yv?_s z;B|$x8lm-~`>m+I-27(O&H6zc>%1_@S%8f2w!V#n%CH2Pop+UMbBpeI2n$umN7?sm z!FjLIrsEl})MCnK@jWiEDIfj()k8YP_JS9gBAWJ0Of7_Mmo_C-ey;R0PHKyJfUPSij$}7;76Zl2hkr5jnonCwZ6>2+B%t z>0{k-%Uf6f>Hzo?5}S@KG^{R@eucgxAhl7cOskpZTOa_ba0qn`9D(%*VSHB2#04!+A*IZhCUO1raowmwr%rAYBjEnTWgEdye})3UK$G7xmY5YzWjtq3BHqjfOpO3lq>s4ZgS#Dd}|TeP5vENX{5nz2Q4^NGpvXVTzK!R0hq37%1> zKJ2B5a4zdCAm)Nilb|YMDkp^jQ6O}@wX#z`*Z0`AmDU0CpJ~^%loIg4Eoful5CxlY zW7q(Aobr)bK!rJf9zlMETj_TT$a)F59aMUyW)QR!A*bW$1!N&~P^Y##hOF{7hDyV^ z^96^2SXEn|mG?KLL)J>Nk)I9Nn{v0XS=H{ALn9$yVl0OeIS=%EpN)U3)A0{OG;ZWn zaN3+#I!F?dVG4d`x`h&(za=-AKX=m*i_P7%=;=!=7F4s=+K6+BJ;iVj6zx7NU6@hs z(kl3lB@QBD8$c#K}O}0M(WeDA(E2gSUnxqRK8n@xmDG1u#@%Bub7It^g@F-k(j@#(PHt%9TpV zsL*LY7Jkf3s$5Seb&pRr)49slYVvI|%`$D5>?sxE!9gj5pX%7socOIqH-l|rOGRC> zY}>7zTVDSFadi&Y37Sg_t5CANr1R<2#uulV6BHWJSRP|MSd*Z^^wtkJNd0ZI{FQ1Z zQF-Q&J1u;VGgUcP--S}@iz~Ty%N>k#=EBl8()R-P`*EEFcNez`x+5-%&LfH{x0aR4 zq_%h!NRz3i=w6!IqPex*`l8@j?PbTyqX#<3%nGP&I>0_!x5jHu%qK1bjCh^nr?&a! zwT@}6Uer4=f(dEnh&6tv%~b#-g(<0ddveAjW`^bj`h|>V;_@y)_Es9=JwC$CDbBp` zr$UKpiLU5l zO{NY6`DselqN~Rib6uvRMpzSG1aMQIE;-^_#K|BHH3ag^SKIbwf};~bo}L^+jL*Dt zz=0ugNampA24L6lSDpmM#7K(F3W{(9FE4P#0zniM(le>4u1Ee8f}qIpSzAWWEKsUR zA*}8N3lJ$&mtewd2Q(=FGL8VU<`?#9o6hXXe zr&$A0@X&FlMxM+S7y?vyj&%5I+n!jeih^{}bpUDxLxpgvFwRHCM&eB~@8iP>cZf*w z3KL2SRB<)@m~|ZlPXrUI$1o2ZgG;Ms2smN_TfmWdD4;~3AX7?dr2x+fu%So3benF| z>yvPr2%{8=+907<@9`Wm)1g?NoT4_R-L93C<&fez@umufvr)Hgw$=~`q7+=qu*k7* zh?-;x?!ZGMZ~Gkkv&Cd;=xB2lliJ`r9WxJ?#V#03E#ZY6;7^6kJd z5mE1`zy)toY?AL=4bIyI%UVk?1zcvWq}R)pe>ykdM_Tp9FM{&iaBoP zXw3s>-$7dU*WH6NF$B=kq*M|K?&fmD@dO!STzI>)%7X<)!mTI?p%fnf0Cp(_0a4=4 znGCx}EC~Rp*>!l0Kpao7;nxfYK`;5BXO`eeK8*!^@HPys}hM1ZHFcj3rhcC16 zVX#OezyNa~*ZvG4iq4D0SPGMu+khw~!Qy@s&z?sO1q7)yY65bh1G2r@;Hao6XbB3` z1Gm2e0aRA0rydMG{2&s_!H*G9LTmnm4KSby3Ntx#tqpMMDhmXfH^eKK3j1&XiAnOv zr?dBP12~^i5AQwFygbPbP6N6*9)$GbgQIN0T#L8|j&;UT%*msmY@q7TmKiA5S^NX? zVjC$U#vo}-A&;m(xqxBm;oX1*42U^Y;SDl9-ovwBZZ>}0UnogM6ZARBEmE@A$Ez~-tl7Btt@ST6sGif>jf7Q~I z?8cW8ppq;vM~#PQB-5T)~KuG7yy9$B|U_c{_14`4Mx4#HOWF1-L$ALVv ztuWdcla^FEl;_Jn%3uLPlpvCIQoOSrxRx;34J3-zyarskU>XURAWmn8JWw&ZR)miY zPuzImp(BBGuPzl76~urFiXBFyw+vz!3fGNBbQunOaL|GZK%hC-hYHsKm|zM}@EK+K zFfgD}mGVsvV0gR8zy}rTGhNSg=UE@{;5(y{=wD7$e#hCjFwQwp<~wnmvodD;Wys`k zs7f`~U}+zRmJ>x5QSii!d2<;Y04NOeIdKC3#Q-X`?csn2LBgSFglU!v0HqjI8WAc2 z3moY{KX(&2;8J15Qb{~$Fhv6b4CMY7;us>c?;9)bY5PZEQ=iLR(0?8r@m`-{B%RXN zYq+qU9nKhL+t_Njj^S0}Ax^5YwMIl&1hZo^yKRR-E#QvBW`ff36kJ25z}ve8V7_E+ z<)w7948~&Gnb4ghm2<(7B7}!sPa4yQV8Apiva)YN7Grg&ozR)}ez zXW5q=NKimfNdln$^k>_I#u@mjTB?o|%MPFb#f280Baj?0z#>j(Azbqm02;CM3{SzTryO3}NX61=hFcIPe`LsRJq=2ADu`J^ujwM|AA-BqRA)XLNE$qCGbi=*vFB&;0CVEX<^D_HHA$ zo*6kB+dAnTn3|MW#s*kGKB~l20!KPvMNm?PKM0(SQZ1s0RShbUaQF z=qOg0MIcG=A9vxwEQ&&66a;6$am3pODM72(^s@T~8spv!uDJ*Hip0=RTU^xh_~FC! z`vg6@+fO}&v0G^~g0e!glcAnB5(Q(K3dLwoEKIR%WqrNw@42nAT;nVuWmc7FA5~tbX$emq47}pNtZu_RAr4B$#*trL#eG>PYpn1J@|qIms*7s(m4ZM ztHYKG&;;v^ve!=0Pshg%i3O<+SqkI}56Ofu7a3K!fl7NRhe1#+efe7rtY3hK75ZLN!G0v zon+HqYnOHpIoQTlbwwoOkEmW(yOeII$>A(N55v}V4_CUi`hTM*`DqM$hT`8RXvk>k zs1qcE_$Us2q+`JHov&K*PvKaz+Vd^TwQ1AhcpSgd-P?AGZQH6hEyC`7uVA!kJc({4 zXyv+?6ow)hsDCLK`X4m42BU54N^mXZ9WI)Gnmn6YIvu{+QsS}#r+xM<%i*=DRdFo$PIwN^#cMa0u-a@M) z!#v+JZ!Bz1lSZvow2{Ct?#2+??Kd`?j8#31+1=dj?x@O6zSFK>bxUviCeLvOsBO1B zy6UL%Tg28FRxcQjv6`9RE;?ng#C%b!c?%Ru4YEpgeaP-Vy zO-n70R~tAJ}*1-2ux&}0yGX4$7R2SNTsyuee-UNMQe6~FaT9$M&ZC$ zBaH;&nB#TlRMx*a9(iRNU?-;$Ket^{tWVA${qL6;7zfjjAirfOYp$gI}14o;k$7g186Mh^FiA zsA_*$i;Yj})aUId0J?D(7pOf>7!z?3{{X6AcMJj2k0NmE?xbX=%tS#PJheZM0X;aN zFJ1L0H8&9wI94V6RKOiHDE0SJ=6v{j&z3(f3WrSyldrmyPEx=>>cJd)&H(A6Klz2! zp-QyS8uBp=$o4S6Urr;R<{wcUi(8!k0Oa}q04o5MsD|F5^$^d@fP#2v%Dw(916I(n z>K{@}U0hWq zX&f}y4a+K*YLh{L(Dc`)&@%Kzfg>hqKKI5_SeQuOZ7AA@w+u+s*{Pt!vXKX_I)rrh zNp4%HZ8s4_QqrQeo?ir6cQb1DW2fMY^eckSzr!PvLdGybw_O77nVQst4kZrKR zB)1YvAdgcKqA(bhsn+_v*!xd0zbiJY1y_K1W-X-YnxwpHSgQbnb z+TXNfGCT~%DM%uqtvq8FxwrKeDa}=PlVa<$bv{r30MUJau*uTBZFLQcd2uYOeYHxb zAsmOzh>CuLRejixFTK{XwRbYNmbzD6GR=1qeNOAs)%%^5${|ZzOGHJEhQ54&J;DB8 zgm(;2mh3*AO1Zc0;9mQB@3q*y{7Wver%m)W$SOhZuyA-TQrgtG1^efmJXw;LN*Ru_7WkKjmBPrB=gzY|C zKGU~bJdr3eB&FlX08I!wYfsHMt9yptCRe25s*ZJk(H54VvshZ7UR9Zi_bQW4`eUxA zmmTz_DlJWo!_n~EhG?wpoG7aj^9pnPXlQmX6)w@umHBWbs^WkFV}Or+HJui(O{PkiH=lCNSIi(%X4by(oD zHOPw2v^A#_9s=I#QB`VQz^2$X_-8Ro6{5JM60;Fc;RM5}aNW5or78=Xr)@3aNhN~O zR!@Pv$&GmSMsNgonw=7rLxWZ-W=1G(6&E%Y?j_Hl_b zPu9H%!C?#m+wzEf5Mn<|o!`dl? z#SQY3s;xhTiX9)bjiae@yy%4d<%u+VjJ< zZK`)2&PMoF3x5?GxtfF7Ty=Sl+@~&cEu{r?%FGdw>=4gY$#|VVdiISXN)&675KO5SuqJrGsV=ctIcy(a>Z%!K6za2z;u zCy*Vu&8rDr672BS(IJ`e}m!rYuyam3j5KJy#&X7pC^q_C4|WP;IM ziO|t1q(%6aKu6huBz3sl)1Ly1(|z1q^!i#^Zbmz#yK{RUY|SGiXId^|inLny94S(` zvn`Y)t>ML$eKES){{WahLvsbw+uYsFdMu=8Y60i3onO-P4JZ%d!<8s2P?Egg5x-J* zduy%lWs?5kuA{TIZ=GnQ$>KL9yp#z*2`f!iu6R(TFc$I>tZU5H`iH$=zeR8HU0wO< zH`Os;uPG z3DTtPp(Sll$og5lK?di$e6_*0xVb`L^PEvF#mQoXwF5D8?hA(_J+vjRO%r<0b+kUH z?00u}O)9|!hnpfW($X83Fw;guOMHFUd7txL1@Y#kb>tykVr{yhg)i8>;z#tb1KT3 zglWjd`a`)}TUhQ;L2{7Z&+@LOe;?{VlgmX1ivmew?82Hd*{N1k<~x15S$~}t_jeaR z&@HTHK*P&xp=m^XhLuDI#5iS4HA)23y33g>)&0xn`g3ZxlKMB0-$=_OMQIW(vj;AV z;>}ws(*#l;@lC_U%)i_>_$KI`!L6=C?Q62gvBMExGt2ovsHRF+T`8+7;8e`tO!U*R z&U#t6tQ$T3tT%Cs{{Wkbu3B9LkYJ=S4^&mI*4e4VsdJ(~%NQ))>8E-LAf5 zB>LV6NnprsO{y%exfRo*xptm7C6Gcgcl&4$Rj-=n4xU@t5;;GuwDUyZC(Dl|WF=G@ zHwt~&AcJ`sI#-!})q9&w(mU}tP|a?z#{(mR zRf4b0k2{L#Vi*Nemv#wcbM2!gc1>r*)bDn$xOHyFYOINJmW8JB^eUD4mer|HaTKW} z;XI4oCgmEcvwyd2i6ryR>rlX+09LNY?#DCC@3$7Bi+#Il3o@1(SB4!85dP(7ND7R| zkmAs&J-1r0P)O%YRY4-&D5?0dARL=@oW#7=(Maba&l)ixaLj^nak(U@n4P%1(jQ2b z6e@tKxhklj1Go%BZMv3{5k0gMu8HAEqXxPpP~yF`U~y5KSf)&(o()wH?z(?xxZ7^_ z*0hEzOX%7wq=^zjff{z6um`+(MK|QQliNeipwN!11l*MAcK(y7}chl zI%!o#SKD;wPuj?CEq2RDCq^ujM+8XfX-bAw1Z9>kdyA5bPEDDs7SpPVuW@OKG!m($ zn1W8DS`%Nh5Ti#?)Ppzp?Wc9M$1mT-&kxh=5j>h4OtTpsE$wZY&Aq&F%Q35%(NwYh zCn`StHr_x8;w;7AIvRUQo<70IV>$rL*1_!%3WMO!zfdIOIyw)C#M^OW7$p3BKZ@8L zNufp5-7UIbZT?2vxGvjzC-au>L^3IjmDSV$R*mBXcc{aK6Y&n5jJ#fu^#uFA*SPQ7 z@^1eCAykzlfG?X5mg=f>&}t0&wSCy$R}~sahYGw+1;;==+)oY8>vt~Ia}q53OZjgJ z3qk_1U23%e(xI5iCrdfKrS-;|Vr6q?QAA z{+n$!qxA#i?%X(v_7NYRts&I%24vBww=Ftpl`$>jh$y9s3;ed*nataf8=c9FLFY>Z zQI9MdkXA99G0m8tWig_BiIPt-$$dO)p)6OMjMw%K>m+tJF+#x#5YeZYs-(t;fYYnB zjum+oo?#w8#0R3PkWbKNryGtgqQdr)r!}dIXZlOdgq7+gp^|q?2p7 z2qR0oQ6HG72x6>RG`Mq{LtnORa!^7G^&nfrwd;tLY!-u_~=GN z7S?-&)?0Xw%S#yIjG$K4=Cor-WG}0WYR@n*)Tx*yLACVS-rg_nX->-}QAITt|5X3YB)%zH_c*6J~B9I;JIkhlgZ6_Te( zR~>{LQjrmgSqwL(HUeocVqq5fD?G2g2w-Xa>1rMHGPHVF9XcsZIdRVmS1{0%tuY11 zrk2}~L)1+U^DI%AZR>2X#~f^RFjNffsfZONxljRztB^`6S%T-&yNi^T4aadChSemI zx5-Ou63Rk4vPScvP{^f6{8%ka&L>qn%5hCm*_$e+`$fp&=v$feuDKQeUP%3h$ z70eFI@I{THOgmJn#kHE6RGxIkl_rG0kaiSy1aZ%|4MW$hIQ3Ynl32q53p>GHRY}@Sf5XN0(0;mL}3oVhpgno@LtPn%1(-5=d>$HJ4+U@OaH!F)vOSvv!c*Vm(B!*!N zGY~Yp62WBg9VGJ07jh^H!*924+qUH%;keBO<&>UPy_{Dq2a=2die*G)*3xkd!1Duz zF;Ghp8Y^!m>fRZN5@dA9GSn+gLx;sm1ECm^Qsls8G;w#X^({ z7n`o~k@WPt#089NZqlr(l`4dQW>sKvRIBI97*i66c2@Sb4`Ds7_bu%APO(cIw+7)Z zb>>+Ru?SQG!$mU2^Ns}6b9@n8Zq~a^*5NIL7ZE)Ap^(8P%SOO7VpS^YBT^WJIfLDZ zw-K^#%CTF`KHC-4m(kkW}{R5_76Y+khovl>MzF&k@HW4X45;WJs0WK&91FsRGljA$`z zh{mdD>%fn}h{5H+WnWWnS37S`Zaam#fpcjKNGdf1rx^(5s61ZmRC`3oTYlb~T$Wb@ zdb!+^_K4nm{&1P4%_NCyp+^%$0BQDPc|kNGo~M%T>!|j9fNnBvRu{2cPc+u1?%L$jA=IlQevWjU19_g>TUlg*AckEq#L&G6mMQ?mic~#vH5^7XgjEDsZX1%ru#5QRTXdMks_Chw zG?~>*q_ssATUAb8>}H~q7|o{CHrt4`ZdX^SdHT5_xs=UWE37&X%oGh!GN&wQIMo6X zyc>(n_SCwq;a8qwl?m|44DiP^E+mFU4;!hdWnoZ8Et5fE zZ~{hl?Qb_d{^H1P(`~R=qB`3^sT<9x1~Qo>RxFEMG)#f`tH5a#1*N_0pG|4aE@!q$ z1Bl4|W5zQAgNdenhY=;>Ua^%MP2S|YHLmq_xSN)}M7e8SF$j@mP~Kcc1x}Gcn;M^P zDwtG6uA;rZj(xjtvbbA0cRQAeuNW&bEO9ifv8#AYj1q#p1x+%eK&h4!w zynEOhKQSbn#%5LxLJoyWsjnJh=73bA`}WxX0P?f{0NO*0{XOUjt&;Jt{5Y9rCy`UX z@0&i@E#lnN$eV_!BY|QwYoeEMPyAG($w7;kW*XKBj{cg#KN=&Tt@NB3{yZu z#KB%Nyb6((J;xI&jG@|hE{nR|B)Hq4gkDJOqi`0+C7Mdn#CHW1WNzIoYm3B0{-C_s zEcVIYd|2OZkcDWZkIoV?rkKPaJ4nQglqoov<_u3xO|Z*g-$sFLpjM=|;ug1cdJm2^ zRBF?-VXz@}1@}fpxWspRXs5e@XlFLfKahvS1d=K+Mb5Ni-`|FSzW55V8pkCC24g-1 zV;LF=S9 zye7{I+gmGm;1M(ou10=jZWY6S3k}o??d`f5_lV@(ZDF|FtyBYaZJuu>4q!_%qbe?S zqqlws-312a8tnG)!+Ske0=DxYqbYU~7C?$Lik&nVZ&zo$3B6+7D7!s6ujLNh zWM3_AMQb*CJfJ*gPBhWt!b)9$Na>-|=Vy#`@V5G8O$D|?%pVr6Vf%G>yKtVT%7Y+o zE732$1-}-pyAY;O>Pu6CdHQ@D23}__7;&#)jSSvFx0Nn}c^U|qNlz@sM~hahw9}1n z({=3vi>BA2{K-zhVe_s)!i)-h1KP(uWJZ# z6p|JV<*qcTGvYneQ8MwVuw`T$07-7{f46 zki}0RM;_~sTx|L`uC6v{w(m8qXO2zESjJOFazyOp61uJyGKz%crn%D&HI#b=Lc4$e z0D4V|d%Jtxziz#Y$%0Qc=87*hWJg(GSlK1h<;X!3S3NYKIBLFR4j}aFsO7u0k~s+T47yC3=aEeS(!Z`} zH3F3&=k3AO*YL8Obl}l=0e5euB$1t>Wmco4OvqG~CX5iCInx@!HH#(D zmzERRO=k?Y8cm@G%h07oD@=g{o*bSv5l>^Y^hw`llF4n~b%5%Y-Z@KoZCaM{0~AK7 z_!q;_`j*CnnguqH@9zb()I04qQJq*$Iloq$VvP>e*g2a%ZSn^~WA>Ff2d zpKyz9o_UOR>l-r4(3s;b<}%S}?U?-PV&dsWO30nJ-`wBtB58Jc>|=`6m2R#I2Q2ap zQE5W?0HLd^4sN9hmh*YrcWZ#VRJ(p#ajNwaoCtlc*xOCf#;@ z(*7f}OSxI@6M67nTC_#0AOWGBC3y7cr-gwkM`6Q@r>sT3)n_fP(`#<%5#a!sRm_0} zNeCUYZ2;-6F}R&D;9OZaKBO#}oatWP{0dc7LTZI3{T}Tc+kWF|Vw;Jwk<7N$M3^jz zqs)chElLRmYAO$CrYhTMVkSx2n)c@EZN}ow>^#`gCAX24oP;de*dbuQ6#%V!adUM9 zRlz>_wccJ>9ii{-F770n-ts4msacMuS(VD@DOz?B&bbPUpc4A0W4hh?Qu}9$Rfgj3 z)!|7vMjX(DY>$Ddq~*gH%P=DCn~w9j{EfWZ6uUFox|^4op=i-#9|gNc&8$BPigU8Ng2>9PrHG(xjSp@nSgIy7Ki9Tb z7rh&~+QAdtPb_K@D3rUBBvX=t7}Ax?KFm}W8HtdtH+S9lO}Z7>@2$n9%yK3D#kPSO z(CgC2Fby;{J9{y-q#@3!x4gIN4WFnX+uwG#+vB-{TUazS@f(dAZXriy3`dqxfI6tz zV>rnTlKNm=&3C!(vhB^aLw@lXt)|c}9LAbjX_Xn(OXL8qsxOPP4pKlswVvl|lK%i| zxY;MZYuWB5it^o6;GHF6$S0B9d2FGy9a&{jmK<865p}rRUr)0#Bx^m|Bu-)0RV8?s zJ4ddACZ?L7elw+5#97`g{PD`WZ1!zx8GPHQ#6?ws1!JLYRVph?v838)5d(IH?#HR^ zx8r3l<7k#f%p?jPdwCi_=0uEB)mPswmppdhzvoK~_@hnub zjYm2Ig?r&+hGfM)74{5Fazc5W>({a-{iD%Im0| zJU#eO)mn{`x-)GZKk14^x5V zF6AZUEc4vO8_3BdvB-zYX(R6pJKYTx(W%c`W_FE;nP zFqz{qLh#4sqC={`9VnwN-t0^+wS@-b+GBQ4_g}Q#1;jG-EXyoPhG=4f7GzlzX)WVn z;$ZrFhBlPiSrT?h`aw2slAZ`P&+_)E0+tP_f`y2=5iE_8_=r_K*yogWDg<2}LiFEd z5J7OwFmW8>jIrECt*L2|xJZj|{Lzf#ZZ`5XoZRtcgq!`Q)zy3P+;;oPgNsD5mK#~F zBY6CpuvSE1w2_-uJ0S2gFS>i>=IQoLz9}tZV=}=Mps7MxvlXe*NvN)L#IcaA_aP*3 zS;Mp4LAs-dl}u$Kjz(|Rxw#`lr^Irup~|?f0w?dTVixyLYWJ2f&0DBqPcf7gqRJxx zv*=-%TnmBHwP-@iaWrNTJL>T1R->H?4Op)_V&uS|ApZJ; z{_QvYq*%|7r(hEpR=xO{W-x+*ge0g6VGICM4x*qbf}ue0#tZ@lFaR*X7%Bo9HVvvX zq@Rcpk;FJU)nHP5!llPHU$Eg)e9-U?lm3$ZhXp6i1^@znWq!kgljenhT4o}IsT}e+ zDL!a*KrzYt%6l-hORy?|qE5u8Jh0ql@`|WE57?h(4KnNspo&S@pJoj*>Rf4xkQo!B8T<__STWFE8`s!sF`u z(D)JV{!h()esyYVr{;9~v}N{TOHQsoxCnCnH_SEp&LX;gdrf`VPfu^|0S)xeFn?{} zfBr*HzrP2ExAy>+`d^s8+xgQ^)HGJVhYjiN{lFqmrd4b8I%Q2iP^Z3_9-iOaF@N2@ zWMASnq0{}op8j8MABVU12ul4nt52!?CZF4>Pk-aYEj9e#xC58z{u=#L;WX3pw5D8X z&)bT6dw*~Qzai$WKCN9je{81##<^Du!}EUN8*it1hQC;@oa^({r@Jr5gTwQF-~jq& zoW8A2@1Oj6;rM=U+yP1S?MA;?u9dAmdbF>0UfO4eht2N*o9X(~{BE=q)AO{aehfZu zcnXu`HGliou6llRyN@g{56%04X}+E3C4RZ9TGF3AO6N+`!%w@n4-cE(1B>!H29K@( z07!LH=IdJX*UQi6o-n5;f;c!GbHs#EKA@l#Kq!<}oFv!7-bA2+;Whs$|u zPpwmz^!lk@bA$})Bz@+ANaq;&sW{u zhQF8t6rrcpxpww<;eSvtqNP{-Tln>-zX$aUH0MvNaQyGxz`vM5HFTPNUxzL{d->rn z<`^NZ5BRsjemYl=;luWW1Xa-A;`~28mEp?=^#G{r<;ngl!}F=DkLLH`KjsD(i%Wlt za2=K7%fAQp2vmM&l7GcG4~s^=JiG9p^AryrgZ?SY^mSqTKyf_JELZA0dFa*mVJ}#N z8vL>TBk$9zpBz7E3LbWW{l1z10LjI`eHe>3KJO-)*S`fE>yo(gu?33~GN I=j<8(*-8qb=Kufz literal 0 HcmV?d00001 diff --git a/thesis/figures/avr_butterfly.pdf b/thesis/figures/avr_butterfly.pdf new file mode 100644 index 0000000000000000000000000000000000000000..248e4f82469971ae2156153eeeb08f88b977001d GIT binary patch literal 186965 zcmZsB1yCJL*Cu{(4=xvXceuC{oZ#*d+}+*XEdc^SgF6IwC%9eQ-F@?Z^4GsxJ2f>u z=k)QZI!{+mQz=VGF|jdoB2qP;Rlgu|09khU0j{bjqMOUbJMg|zf$s``(6B+MvJYSt)kR=MTZX( z0V={>*PMoUoNCv{W_Ws#{Vq^zb5oicxDPq3<|tQnTjye#%k$1EoZ(KYTVTkET~U@h zekppE_RBH&Gg0s$93bTXQQ|KAXX3Z$+mfFe&x4fT6C1vH>W!4kBtBy=TGN}WW-&n? z%AU;e?cNJ+BoITC&w8q|a4{*@TZ221o7xS`3gO@s50PgMVM7MEbEWq%Y~VWnwHbcT zFOegr`mt5IS=*&ZDl95pL_+=RU6~~saY%~f+OGY8EM07Y`ATdvejHJa+zy3>Gd~GS z^mCr&RzO922{+^Yn7iB_aXPo+OaE>NqDqRwvRR{FzWqI6J=?TTdx4NY>mYjifOE6F zm`|nSi*?M@Ja;=XB;g_UpL^rvO$r=Ss^y3@hi1c4!{++4SR9``jyN~%7u%To+`7yX z3Ix6I%eXxs*U;muWNgxgW)%#dvpifnl-k|KJ#Ba@wmoyY$*tW(*Z#$*oM6;kIOohH z+eRLZ#N9?DuONBix?NYrt^)r_s-CaX;pBY+E9QMB^DfM-Zld`QS_QT4T4?iB^(>>4 z(v@##CA{_@&jMNGdrP_${ZrX=k`pTOgiiLD94Mj2{)wVAnC#X=ty;9?7W|!9NMzW{ zOifzEl{r+dbtJXAK+zoR49pJdp%`)cPTij~9?V4ooXMhceo_k9 z6pjgeJ+k&T7#+{##G)&qC{n+6oS6m7_0Y?*R_j3`D355i)-l90+d8`!DKQxQ=~BLy z$TL4;qs zwZTnVNkt!S!)f$CM^?jcWDa|3O|&4DZ`*amBY+H2!5TTyV}KdF5kZBnUD&3RG0hHY z#JjqW+RY}(z~16Vd%!0)6@_;GovC+B2&SFu+%--gKkYur&1{BZnLLFc_yCy~?2NZS zx9A|(r*2C99*q#0VT*u05Q+Ds^7Iq=+~)fW=-T3}Hdec?2$Q6es@75%>~?t6g<2JF z+nNH--#@D6@ z!GkR8mWA}}r#!lZ1`WdAy-e@L{EGr%N0g13Z;zM z(W(-qbwZGs4_RV7UBBGTLsH@9zBH}dW(R8vHQKSkk=mXvR(rUBa}RB-SNk}3O_9Sl z;LASa*EAHjKMhrDadpis&FMOuzO4=`*FHllLIO|R^+KG1O2;eONX{gAbg9!M@Z(0a zjnnCa%qbhe%@ockE!jBO2QK=lNglvo1u=mLXDs--h>p*d{5r81jKa9T@i!tk80>~mR z3SU0m{>6#^&awS>j+L34o0pCI-y;7*{9E$hPZnik*T0Zs|1S+kE+E%GSo9xT zEK&~kuK&xY1rsY1E0FsiiGN)EHSqWTPslv~6EcwHi8UrOSCUH{c`0se!R{{}~CX9qXO z{}s-^-TaID-^|tiI(Ig>OPGBE=nS9h}u1jZOd7@Ba#zMaVznE)Z?W=R$nlHuQ{2)!ZyYXmSZWGt985(!cY=Ot(BkU# zJ@>84sGfbxS@&fl=~+kIOYw2s-P{e+0;H$$QgE|nwT?d>T#F&ijJs$)k!ok2$zkveV0++k%Kb#ROpO9zBNV$0we8L&4Jmk) z6I&?z@P5+XW`E2}vWNjPhlW|(oqaGRGT%% zx)k_57-QygdFrJZU;kWlnz=R4>;jW8*d&C(ozCS`D`pl<0^opfWhLPc z&*>Q{?a`5-ikG*I>CNQEdr{y<-Z~(v!R-|K=umKJKA^?n@v++qLuLv*bDs}ALG1Gk zkn?$o_VHE4+S+bSMoHSSJ|y0v@GmNb62|UP;a_$BMfv)yZKXJeCvvRVAeD*L3)0AibWe zT}L3^?LODaN=Qq;8L%sVHyJ(JJgL#SKI;vUUDRqGp#N5#y+T|%WlP7rp{L*ZtEvjV z_{W~7+T2Wb_S@dxYE1M-d6nRw%>ZxT4iXsbrLYj1=q3$K;;#-2XQ#9$$BY+8)i6I= zk0kc8pzq=&8}EjEo+nY`{)EWhqI}_LPUqyp=|SidZ=6%BLT90-$&P@t@%B+nKwgML zo$tdj^DgAVKF!KGhw$TG!jHda3H33~DJo@ejZ!XV!6cL!B-6T;==1yH9&CBA^oktW z4VyAkX6vkIhSfCbiDPNqP+iQ$_v4aG9iGs6d?C3yNlF7}nQvE_y&$=z_(yGg5A>Rm zlkZQ+NKyK0iCsiI3noeN!2FV6iTOQ7r5gPnH^^v|Mg4y2#TjC05t0ODlJAUS-#&K@ zcm34TgOJ`3@7UQ-8Usd%QinB1YNG!r%H1;?skWSJOA6vp=qHH04pYZ41wJz9$-F2* z<_$yx5Mkg^$x{Pz2NL&Gfswgr%HfZaK`DaHWG4)}yax`;!s~uY+R;MQ!2v3wh9sGY z(w7Kh4#PcY9wXvTnH=vD!17*EG$vg5G!hutK(As(ehr=ge zCdFeWB@tK`{sPw=EHDm3=C9r>+R_J}F6(+XX;I>Zs%$s5Xu@gwUdEoNRYQ(dH91hB zwl#P4bgfmx$gYc%$B;0kNiA+Un?(O;!>s|$&eP@KZtLKBZbk1KteDPrG}m2D^bJeK zRb@kx4|k?|sI~f#w;vl{9$9&o29s@9LXRc_geV>auo8KJ%16Rg9SdcS3{ypw<3~l0 z#f}6NL;X;|_Lxp?e!f2?Q(>pWiW=Te>@%e|*|%djFdhQ5B|C!T1nPm0#RjS905>>ILO%fIRGa}M_*#>Ix0C)Bit@%5ff365@ZihR&VN)V4(DL z1~zVh?2I@jJXzbfZj9B5q>S4D3^h+(G^)rJ+Acj%sxI>*#iL=)w8f*Iqve=|D46GY zlk99OeH0UtxL=qydGT_y_*-B5OdI}UEM9By>y*_atzK2(Q)HnUQ#rR_ zMwLo{8#7I5+R-_T@d@boPOdn$48~&-zb2Rmr9TfsK|+NdqU#}J;Pd0GcE^ffB++Nd zaBcOGkHUQna3kOhfHx~5(4klq4;5u<5A04C`OJR2!Ua>q2_$4$^*9!xzFQ||7N=9z z>P;862HPEgSj@c=ON+ncdYGXn;)jZg%+Y*-^u(!+mvy ziBjCjr~&r9-eZNrd_%QMl8%>2J&mnYmEh@Zg<;Ea7Ve(*xB(^`t|kb9fUgdfzkg&P z@R2$2_AENoMuYdb0x&n9(;UnvZY1!B9NUKlKis#q~D;b0>j#K!y{7&z~EJRxF{b{r99Ta5`u{}Zh5 zAv?Us9qsAAaHK1?;64Yu?59F6dKDf(5~~iYKo6FVc(v=-tnsumezFsMg$ip{ST?|f zQATwgQwZ#47KI)&hd_sy;B7hD8VmV6ODX2nvQ>ln8!7u!7vIx+{}&t&KU`*bUo+ld z;l>}B61{6n3z4Y0yr|N{qUeQvqGOmcU|I=u;8qQnLOzl9BrDlkw^IuYwzGw3U|?&y z3@t5fON3cc<+C7k{vLl|T)1f;5`>tJ5QioX9RuH-@V0mOnN|GfXG_kZ6j4wyzY*RN z2)L#^_QU82f)Pr1>ZV@EDw`X#8%lx4e8qZfQddO5seQloWzDK`Y->p;(*pa9K?)0n)j-0)}u zkf-=NdQ7mjd3wgSOoYwNu>6)k8cWQ;#4?GwkJs1_(QXnE8nJv-R!Nxn$HXx0&WJdG z9z+p<*3sCwLRb4kD8QK=zLk(R&@IrS26o(4nSg?@NwqS^s4x>_E2JhYq{hZuB;}fW zja0c;Gm^0}6&2A|umKMyN>lu1MvFk*Cg?p;Dl-q6j-R&Ff_wRIooQ3UX zH%G#)rL%2n3%~D4zZ+R)$5JX@ky0o@NxYJdaK@(!UQ22aiX87($ksNvn;Msu)Gn zt!Sk$-_D$OiCQ=yh+AQr_<32Pb@Areta+S>0GV+o)mEO}05f!?*dbi3W{eJQgu2+T zLy~?yrRt~+jifjur8i!w()iT86i*0FnuoI{lCMiSN!2zceh(Hy+_W?Fl#?v`P1R6q zgSa>!zGy`aEcj-s=?E$bDW}L?X=6pcm~6|gKfPRw>#FxW%_rVBCR5)2?(f=p(Y{R* z^gjSCb)17$oVc07&8dD=u&L)SR{q?g6m=Ca=CuySEJ=Y~WSCNkh-VdzWH~&Oa z)rL>JClYB792KjK4`HzcTA>;^)xmLdXoPIWTuX=mg61v@SdA=XU?Qr%Qgb5pVXrCF zCGWo1<&pD5RE3e&(uU{EpEe+DLfW5%tJ{z?;Y9Z?+>%er*HAT6J$SIXjA0;is?1(u z)-*zTDI>bB$MxYbF1TKs=_(r`HHWn)34|!ZY;K~Jz|x(P+rBPY=$#T+8+qVFn`R%> zwn<`SLWQjnQPeoNg(eu6tFgNIeo73&^U~WoGCH0ng6Zbs5J$(uek@LgwM*iFOeZVq zd7`#?;$c)ihiZjFf;okPaSJv58qYX!u!WN}xB-ydI#Jc&GDiNF*6F#%lzCsjlFS7=SWj$HZ&J(C%7<+Giy ze0ij0hOZ@NZ_dgc2{5K>Aw^Dau%@VRq>n7*G)$Xv?(o+OSjj^#3aBcl`fMY3iPy;5 zGk23gsNf7rr_3R!bvX#jkO~V+($E<<|iUi~bqJ0T_EUPL$e|^L)a7GEcF% z^{C)NM8qRnI-`wDiR;(bvbPig?#S!mtP;VCFLna{-mA-XJQQ53g9hz>n+Za5k2e>) zXjP4~er*0G(OGf~Dh3H`y_Mf4M+ZAhLd^w>v)R7!OcOz1WM@$bLCN(L8*57A4}1=` zqE&GH^onGzo`6}^5N?I947l&O86^jf3`H~>B9;gouO-wY zXnO!3VDPv<4RcvWrWKetWv@l2p3sM~)%2~8q8n_CYXW&CWaR{p=&vVZ;(Rg#gg}Lj z1Y$!Og!pR7Dg-IULWTkfjpCyOezBql1@{cW;bhZnMo4%;ne4VFNs$eSK6p5RbhfK6 z&T;&GAcJZ#bg++X$=;x0;^kwmUcy-ULT@q*BjYB7tAI7_e+s&>e*TGzMa#U!wO7Cdeew1C?y|CN)F_}jMl@NSJ(VinRb#^$G8kGy5Pc1|bJ?GiiCg7x!| zbtpb=P5jUB?gX(!Myn|H^8H!)0m-th9M3KT=srOI!YA3GYfN>A0P$kbjYgxe#+R)?i?4R z5l0sc#}QWbVLCH7eEM(YV7x@D8!NGTNPhIralJT3JzwBMA7| z;Oom|%wE6b>Xa0{bhyK2XK=DMi{cil8UCdNr}HHiI6iPboDbC%R+&cGDVAN4a)=^qAlWs_>Pbe{(3OaD`V)#%35`od^V!?!yh3XMA;6+Fjcl?Fu3wn6BoVAHg4Q$>iCfT6Wq;?(u0)(&lqceG%0v22Dt1}x0 zjc#&WndTrIE)4rA73c5I^B6-R`U#Ch&vFmvND&@>Rwf5eNqq(R!mnN>SE~l6sb@gK zhkKYLSW1zrA>iwGL8Z_?25A7IU4Bm)q`!+m>2OMam}!#XTybfO?B4_KujsHI6#mAw zQz#xAqb(Vq44`8*EapO3c!=I}lLQeHe{B7sIGXZBCmuK32W|t23804^mYIBUyR1Ws-?Y=R<1I--xtn#cygiQnhYKutOm>7Rn zySQ@t&K`fSIKcf7cX6C03}U~Y4Avu&6eSi7DJh1a((KU*P`&y8!Pi)F7no7@fM3$Q@x^TCFF%9p-Dbq`RCPz%%v#Jb`` z>kwcO?cLjVn;KGbBu~Yh5t~GazBEsi)1F?#M|CkZs3lJo94W%v>A$hh4htRgAVopw zkaXcjF_gX3LT^c|y{?-8)0E48A~n|bgh{4kp*eKP4EEL*S2}2AwU-tc(oKy;2pp#P z#ZM=!&E|U(f83>~KVe-FtC@!-Ho zg|NY+WFfWi08_;4T-hXkaHLmpnf!yv*FpcA79^2{26c4f$P zjO$$wgS&@VA`{3!B1GppSF;-?Jd(7VjU{K@N>lbPD-w4XH{ygYA<~%Q0CqKjgVl%I zPljv;_ir+*Z*9EQ;-Fy9EwOa^Eq|SFfNCR|o4TclQVtQ!2mra0biu*?YmRtJ%E78X zhII?}04jK+?1wfo9?C1e1}aJaL#eeal8gA%t;SpZ;Qga0QxuKj&+ha6BxuiM=Ud7+ zu@Qv?#0&$>&UZ_FfTlWb6`#vjABTgH^nHrb(T%#(>FT_SCVL$(#|Mta(oNe+U-Rwh z6w82zqI1jh1SOuuhg3@3w*4Z%k+r{F7J~88yH`3K*;lGp21)f2=@MRyMx+P3Hv&mn z0$%EZs<>7}#xyBBB;6SqUeI?%!N-0>btjd0YFRrpmWD7S;OgWq;R`@gsT9nUjSr`U zJO`Xh!WbgQmlrHjiO^mBwd}ty-fV}Y_xoU{x%0+Kb_kCPcByxzA#k=Z*IzEwFJle6-31iHc4Q7I@@ zP0jqd2KW|k{F)xYKbRy#RN@<#gLv%+jd~x-E3YrM2 zSb(!%(Q8TbLZ+t-W5)p0)$qqusIXtevGysyFOvS5f6dng^&T!Glkhr9*grmLO=zyQN+<2~0$f2@XgQhhYl@%H zEHb+TRmQtrD6Smk9Ins~Uh*Y83FwPIYfnIUa|FEvO&F0JWM0(^cTqZ>H5aA*;wkWI zZ?QVtU{XrMb>LTj-rw+>`u(&ps%hA2GxrRLiSN*9*ecU^Sm#-7v-3Prdm-&At7tYe zdX-0pm#X2&CiwmYERx`3Vm`#v@q?uDLuCxK59WK~jICk6E;D|3ON3kr1ByddBe08< zqgwQl;m|C4hjJ8pX@*)nu4?8$X{PzTH3p#%9`e@JHHdBHt}6y|K)r=00!FxUwa348 zi&F(fM07PVs{jmwBxF8&eJg{fsR?BNy*%eTHG^^K6j`2|(%*&9@fj-++{iJ@lkb4= z+H@=2tPtkANGNvLD9YuiuL6D_WI#o=ylkG@?;Pe6q?CwY3HgHB!5BXs=N6^3!Q(xH z(kHwkEU@hn8n=8{u#g5ial=j$HV$p;oey;&5-Y@Nz0uTJ=7nt%RA?RO!(9B7-y<*mc=y9}ir6lc^zbLb z%$_xq^ocdtn)+QL_LQ2}g{)%y%3VhkM2QhR0VSJ~+Y$%V#`4VA`LdSM=d%ow3noOQ z&scO0{ES{_zo38(t|ZpZE{fi*o9Ujk_cH0fm8<_ z%3%l*5xj^SdFX8R=rURJ`U({bPVEm!5e5JtAM8pTaf6ERd0Qe5_<1^R)^NYZ8qd2>Ec5Xws5*R*In<--3j-I$8q!4#vTQY0dc#XMR5R^w`j$g2ICh& z<0fW~u)6VklfF4$-*GAPR4(yoIO+3qm>y++g(Is9g;niyS^4mGu0# zqqTx8)$dQgHyie_rJ6L>StgG9M9EXC5+9dAuoYvKkgVMwAN*9)&e80!StF$$7pNo{xjm8G??9k*NCLp z6EFu{d)ANA=Y>Ft0VKu~Ej{=brW9IP+x5x{SOTr&~g#3L}G; zzIaYP;+EhAK|{Yri`Y_q8x6_R;tX_U%x|Z4rD%Za)h9Ut=wdMraR@(fT5^WUdV_60 zELEiy+ZfEMVwSfA=MH9JkYtVGiYQ}RK}o~k_<8t5Csk0>E}a|tYP$_9c{%&{6`%VS z?Y%2~MI^&1p&uaBc5JDFQu%i29{xx}d2oJMty+!Fa#12IVFuDuYJFnwqU;FVrq+t6 zO9Ud4sYT_@$P{08>%ElU_TcT>sGi?YYKJoY)CLv{?* z^mxs+!F<^)xf!5o3TU_9VbSKkP@)gymDp}QQ;Pp&49Mm;weggcb3EuB`5d}6qzC$> za}AK--K9^*R4En>FyO3dkBo?5wKA)pmXfPhDEr72dV(>tgvw{7*$Ja$211`BTv_hn zhX=Km#PN{7{|?Un{1KlWfVf<^E9GQwf`o4Q$;qsxv%zHbxJ@@eyLLMwNArCd7$0zz~U!f4gmSmMUDb?;`c-a^t}7zXwf5P zG&<-D2|dklnfqomThGHkOPjU?$a>oEs~#n8YqiN=%<}KLWqx)<%&FrhBl*p82d9>v z9}=`)z8`nHmFEA9QIn}}3@r~aK2Q(UIA!^5yy;ZqAE`%;?)e#u61)m^F&v!3n3Di^ zPK&ZO|E!7&Yt}b!sp1X~@w8&+7c`cz77*PVBL9qS@%UNzmB>uyoBT#@NML)NJ;>40 z9DHAXFl41^n#c{u2Cfaa1!q%a{GLe_L9K{DE=H7rHiOs?xlH64m5YvtxY`~@K&0jl zXM}+>lhaUzNsv<22?^}Iu)#;Gc1k{uXIJK9RFgO3Hw?rr~b{_YMxa z2(hz*2lDR)xEl-#Hh98?d=n923zRdzLpKk{BUD895?>fD_M@=mD-5+%=7Y<}$(LLJ zJ@#$>Uc%CHx~7yM0d^kKVv1|a#Mnai7F03tq`^Y-a0NJwMF#7sk3lAcU3_Z^?`p}G zS%`%>0Czd5SYE-%E+#AFv#BDEe)7$2;dAnxMqroW)`IUO!cXt(b#~M`A3M0^#EHj! z#+u~&4uKoSeD$~4_KknVf!S_=C7o?rwYl(p`7yOrKM!6g*~25b*Co`Z&X){X^fwMq zxIyi<3V+RL0D zE8>ZelpfjGLOo%8(-+E&_3Q1hCLy5MRys`DcBpr+(fOGiAibm%2?X6=8w;zHG&Su{ zmOE=5CW<~etX=)#+O!sYUAPDQ^1pfx2sm0W9OoojzdTg%czb?1lhkeg)pjs#>Otgr z*_PahcHd0&*UDMS&;#ghT<`>~i!TuK3~DjW&(h_C_uaSLT%?3izIYF9y|pPyO)?NF zVN{h5OI1K=q47&UHgZ3%(jauR2Hd&5_IjQXh6BDAp-Lm)8CJ9H)3Kj`E++Z|-|)ky zkarg|ptKf8!rO3tfso*H(yAOwOR2X$l#=Icl3P00)NjA_42|=nT@ZX3n0h$9XU~nY zSnthN!ncU7vCD#cYY7f&un>h_BP`OuHuFeUl)Bo=o%;tQW@z^>!)m#FkGT<2+dP87 zIkp}Gu3{=X29B8N0}XC~{V%42D;Nq#yE(;}uhFV>Go%~Uht3r1DZf05k6k?b z*F~W6!9hc&xs}541}g%BL~*?Z^reUE3Rtr0>Zyr)Q~(VAi>~Jz5<9`dBIDe-qi`up z6|wt3Mw{@GO&@L|tr=f8jK{9s%!ZJLO!B+^TMYa-`kyqVw+G3W6E(&Y3FY&AUcA=R!d{RfWO@SpRFHhyrF%f0VRcJ1Pvd`aTuLTb7#HW;|r5qf#9#ur&B1$(s5PnND6Ev{b|kkImjl z(_CVizf!WNp+!;m4`1ck{m>*&fyxZ_3<6cT)cq_iHFNIxA{F(0zb$?i`i$wsL-4s` z62*pl{k2}^>3q??tK(((*(cy>y>n`#{p$S$Rp`NJq}*q-#naC{il|XKLd*z7X>}y(Ap9lv;`dX zp!_=t@kdh-B)c{&w8MLV12HlPgy#vq?P(YZ-0R%|8S6T&D!HTWi~#Rrx21^27MQY} z`kDlWRxU@f%B#baHka{Qir(iJwBgH!UB6cD3fuC&9a&B`i0T$*T2s1-*VrBtnbEom z$TU&sFpBgrnfo0Gdh%b&+$iZ#=pKlnWyA%%Ow?IYgBzWYj>VX*2Tb5G<776*?QBS& zR;3eQt2Z8g+34cbI8jCWNVE8u`GGg=3iQ2d=;Brl7p@>eqk40m#SMMkSRIwzz)zD2 z4){-F>g`Q;590FpiHDmcHVA90uJ3c=1^R}!dyCw9K0gOkogw@$h34vB#z#s5UU%9I zFKj(If+hpnjw_T%tF*zOMb}W6#M$Vp5gYEa=U(x+jLM}J7uq|;{`aL{njdEqIplbP zyOFE`Z}-nP=Vh&rt<}Hm?BCBP%NaaUO$tMBVDd_Xuv-_ze~1Td68SZ5irFVGVs(R} zOQ`+dpSM^xns1VQ+}~GEhVswwLXib6wI7IRKVG3vx*m>`l0#!(#EZv$$e~a3Ly#Z8 zrN`+I48 zhhvJs&kCJ66mxDPzA(uN-%HenMh!1O?UswsJQ=4tjql+F@&!vB&kw@qd>vPf0{&|4 zmJ=mI)yuWd`)3JyZ-XJio1ORRT?<9hsJ6|>YUwRS(jMH6*i(}pQ%tv%bvq3WiDsqN zOugAold>T+hz1({>wbL7V0fESJOSt~I`4+fyHFp@$?wJoGGu-46r9ww^W9fx z`ka;!Wo`K(#m@4Zdbfubh7yNjA&kw?-~D-nuq(N1YRm=#+=Zl9J+;F=v<1MsmXFuo zF0`dCb3oy1-2uaeZS(^4n3LV0Ic#bv*@cK&w^lcGHOommcre+}?l{fy8sDAiwiozO zOGv=tUF`k^prK@`Aa`qmL3!pt_mc7VFjf2c?T;5J1CZc#{}l&TDgv9etHo}<8i2(Y zEDz4AP20mtAY~Nfp0)uSyDD(Z@D$Ls9%iqzAP=J0N}DK1{B|5jGPEV>#ky_W{t>8KROqL=gHYIi%RQ{f@E`aAzf)S5~ z4lfeECNY1?#hWpzH5k05soA3@V#mbkX|( zMdurtcv)-aZ>v;z{Zdl2oe-f0x1hTJ$vV*y4(V5Dp{;IzeMaZ9-lNFnpN!Lfx)wo_ zv`bvgM=l`m@9R+WcBm30=6qzxTL5?4c6L7~uFAAdl!xsYD?VMIf*cd-=6R;MR_I zO&LYQ_X=)_=w8c2?q$%5_Z(C6imR;X`A|=@ zONz6tBYgth=C-Davp=By@_@CsecV2d<`aP|?$l7f3Ei z(Jo%sV)yQuP$z9|^t~V=IgfaB@-#rKTzcNhX}Ta8@9w*nLr)kdA9TkXOg+EI{8%ED zGdND<+!c+61i9?^-WL*ne)soVgfe)ga7Ja&Mp#piPvt7=r3uh=cK9t}aUTL(9t}ag zlrTNuk=uFO2<`~bgDor@`oaJKeW~9Vy3c zP9ox(;W5a9Uo*J+g2ZLH6~(&~cqKD3mZ)&4-{y4G$3*Ji(4MWzE(1*wUK}f0)-swI zIiY)vMpA*Yw%N`H{~DI0oa{{1XVR_u;bN%?4vPN(k@SC-dxVA7_8_6{^fx5#ZYMVf z_17JC8XIe0^t|L>S|0rNpwy9~&xA@2e1{x%R7}|0zRb#FCmLo$xts}Vns3iQw4-z5DHc=4XBvmm%!Cm%#mg^*ozFvf;Jr za9q&-`?Xb|dHwCAh-HI+&b6}WnRwN#RICV1$me4DxKFK~cxwp=gr=HQyM{uClS8Su zr~~Gv1;ZFRvKQv;y(Wp0hX!JpX%U=&G-a9{gE~HaGf*W@7LJ04znn|s2s&B(05{h8 zpm}`QZ)Tk+&c8Yu+JMuy(KZ(w%7jh%y^3R#6cdE;sjet^8+y6+@`3~ygj_$*1c68Z z&sQsp<-LiN1niz!vU^;S*Pi#VBm(ux2qJ!J0_?d+mArRPLzD44_WUocZ8P2a(75Yb z-Y$DUDH?EZ#)*e%aXD+Y)}lTcIQQO#I03F|cn*C9o0tc)yu zbPeS{i0I&-UOoZZnV$!e(um2v-FW76r0mXDmK;Vam0Wh@dam^?} zo2>XP_nzPcu4XPK(CqZ9tNG5aW)jr3&KsMlw0Ij&I)7$i2l7V2Uj2scKd>IDso^~x zpT;m$%@q##@hv&Owj3EZn8~tk;>x&avECP|u-cGWg$bHhnwD9CdHX-6Opb z6J~SAW!fN~_k)zd@!2e(wdF9}fl(Lgw$r`F9Tm7WYHQ^-1ia+Of=8hn$huq_#sf4M zL`NQKGb(Br*hhvp$l)5_>%MD$1Q5qu{Fdk0_l@|Wrm8N9TsRQ7D{+J~QiL7W110uj+^m;BXxUf7#;3?!HbTsT{5Jpj`1Nl#}x^_x=M@Wi}RPK9z8545}i%%1D`&w z@|qW``Bq)Qf0pm6q!&oMY$aE>4h()jm39s-kgi+~+bI3wtvv~wYd7#cKPFv&nKG>0 zZ2P*I!c*DxF5RxN-uBQaO~1K(-yOp9aW&HZ46xbn^ZR}%0^)!hPSou463kB8N5Dph z6iMtL!q_N+z~U7cdxFkLO!~CX(pdn(=;-~`YcVl+anziTf#ReUTP$Ov{vqL$nr;pt zzl^}A(B$>%I3J)G3zL5YzczcN)ILP91mp;l|NX5S2i$uV`gYTkAgu4U#bl?+Im6;s z>fh-0D++nCB9kB?X(}GyQhCwYCfjsP?15!gVlSgPo?*#+3ru2o(9h zQ_E+NqVIN}Need%z@wRjS`T8uq@t@3)N$ZnskJ?&MNGm~v-sP@hCfQRYwK=#s!5>E z)9ee^m*4ePWb=%V8np)SF0>W?#J;u>m?};T&RESHL6ZvEQ|V%LuC?9RX|K;0!ox=d zLx?2?ErsmXsTy1L6^zv6vSP!rUse%`as`V(b)#WznNDqfB!yM458v9llo2I<6vQM! z*ZZ$^LU=*E{|-;;l_={`uPqr9>=4SJ=Ey^)3+03E(s{tGvAlH3c@UuXw6L1jTNd7b z(I5xqp^c=+#$Z0N2FGl*;$d*kx#$HXwd^#Je(XuSV989iDUM$UrvpaT8w`KZeKBbB zdE;P++4L@~xJ|2WV`5oNQmR-eA!z2-Q41troPLW#kz6R5rI;IJVfEwAp!AbEK1m*#?v4A!}^sZans`3HMw; zn^lef-H3MmOHk3f3@!>#~zROEs>R&_i|UJe`<1}=Hf#or0IiM11H-<=%{!N+O^ zK222#lGXx}&Jix@NXBSxc*Ea*UrW6WG@}|``VMI><}zl7i_q8LoFl6^K{9~uQ4qpx zEjbhF(s()7&^I^Jf`!Xmn z^QXQ^Dn!WX(q1~Bl{#E}yeL5Pc7LlZ9}Qe*`4bDMUjH!EEuqN7WXH$qBBWSCs+Ye$ zu@Qh;ysySj{NgAzZZ;h~y4B5+@Vc)BrQ}*%ilXLfE4Q$%viP1;)frS#o*#XTI66WL z`54ckA?wm>?A%jZ%zwL@M2{0L3I_E#*O5=sW2>Xe zIuo_h;W|yF=8Ox*t1X7h|HO!d29?iy;}R6QY9J8Q!nYfW^z<+314$-6u7F z&#|jwa2YUoo~HhMIcdZv({3` zWRJwi8=U_BTMDkO*kn2Bm2WO9eV=2xxT2@vCXod~5)$9+!xIueJ;4Th)&S%~S_h$r zLRXrdUNM`K|Dm(@-H;I{(G_WL1?OY|4_x(5WbqAyo;e;S$oy-~*5dOTYA&oN{y4s^ zbuD048m>cV?1n+`*)-dexGgo){$2ZGBqj{Z&Hm*`DJq>6^ASJPqP2yg&q>hVzQbI1 zuj|4oOCy$$xxav~51O0TWLRZ4G%$xu;-SmeaB4^Mp4)+6`+D?d^J%m#?RU;O9~=J{ zjZLrOLa&P^@rp$UfADnDL3E6vp#JUibe6t?j|QpVQF?qb548^i|Ei*gtNJb4{N$uZ z=@Fd>+%R<@hYX1U=NCr+yBUHsub^mRkRx1=676t=-*4OCVoY%6ZU}B-5PK2#EN1#m zNGZ=L>IglFbMD@{nHUm>oQ(9nc&YT{@4G*SLetmo6jNJYCHSDxIQ9_OABiBwL+Y;T zv|Xo`pO74WBCQ$t&tLh=dpG8W(qb@O=MMBRGL*J@O-1$~82Te58ebw+NS`=)r;BRv z$32cU^XNG$oOpTg*yk)_^X?ARL_G7>_%a_BGKX%>CWbTX==)ruRAv0;OYf_qCR@#P zpZQUt1fxMz9ZMcS_Xdh*Nj|!+CSiJGF(L{8*ufM+^s&ZnTP3= zMQLontu33-&P}S>s8mIdrnma;3FDNX$2HqFk`-Ae?>4b~k7lU-+_!#2iTZy4KS030 zF0^Z;9dQ!U-ltAY2X3hQ_>=vmaa#crt45KgNL*UbrVJEKq68&P13f4ijeq!-md`&s z&}9HinK#Y2tmX`Zp*2bN&>J;9fh!Mwaosr1oq^irGnSd+`ANrwq)aS}GoQTk4d8SGN`!6Ame%=9j}x`J=HI)U3HNV zp7CorT81Kf4t7|}FT#uK(%N{+f#Lb{3``^1x3<3YKad*1E1|rkpG~MT6BM{U+b=4M zW1yW!PR_4oku`BKHxvu)uY2cf{qOuxoH&jw3TG9j40p%i<4Lx^>eFV&hHGCa+VDdv zSmfZ|*EI5?$czHQ8-D2_g`K3G6su2#wy&)Im%p0W{>bQH(Zs1eVzz5;;!IcP@y?#& zy5>X2Pp<3feP{3P$M+w6_RNX(y=B{XJofu1uldJ;`Zt@J_E!1@Hb*C#qlsaPo6E}~ z$R^0x1X(skmQ@lVi>Dx)5ZuLtg7?9pF!${JdLWl~{}%MTM<Det{lJ>N3vtS1 zbTy*8vp`S{AssiQXB)=@j~557J$%{oC*PqMvWUsRQ&X}9WYF*=$$3c^8zWBO*4>|f z{vEyc76+#b2+iJt~(7=3uG{ywYbvVqY6`4Nf49rA01ISS$2e~t8%uulsq*vhYX0uV{ssp{kW)1k{g2lV z1+H2BLQ@ntp{mUB(yW1JzRRY~rG(69pIR4Kaq4qV*WJCp`L4sw>&x2%f82iIJ>9>r zRTseI5G^7uEeAz8%_H^FRmVPZL-S>aM!OPh7D=|rY6gITvZlKB{A(&c@#J{LoD$^0 z>~oM{Lb6#knf}zu^1yY6|87_PJDl3%qTUob);ThlpJh-sWN`vsY-Md3Ne8Yv@lTtB zJ=l&}0DM->0Srn84P@hv<5d^lRQAm`L-P)#URj>bh+0hd7|rBzNPT@I6}Y3~veyTD zv2i=1!{v3BVWvcTMnK{aWq#%HV?ang^IGvd?gAA|B*k?+ZG*OWY)Jp}9?1U@G8E2N z7r88x3ySnT0<7+BSXqUF%`u`J#QkLO&#ey@U_;B ze?L(3SR&ElQwP?;{SuI~h>>vFfi0I8zx91LxEkTLzIh7a0b;~v5Q=juC#eH6)UHzJJYnYvIPi3GBciIXIV8OIVngb02~T{K-N?iR>pDe;=lx^ z4OWIMmLyAJ-&2-PUM0iLu!Zw8E;TSU_+sPO%^l%wbCWY{5-e7A=aMKWXrZcT*W3L) zcMXI$bf~Y@4A;*NvRWJ%f{9mZP zFe<+%#LDR&x38t{x0`nS_3LF<9N>PozW4uZ*?zdQ8C)1~IxxcPt1G^~z4f!tH(b8= z%q)#pwic!_6h=$QE;Qow?9|U*ek<@y$%R{H0?(fKyV6tN*t`C7FBbp4V*FGb_aw{Q zxQ6nyB>*85Ov6+)6NxXyrY?Q0F>u$3Ph9=xA5JD9!~gQ0s=$hhPd;1r(#b;^3tTwQ zq7)!o9?}24ulmC`*9Pv}dENS*v$$lFKx=s1aKl*ld3V+XR&!vzt@0v7T zdS>if(7(2_B5?2Szq;q>M{YP4xNpx-wpE54ThKJkgOrAU>DYl!JiYOPJ4yn#?ElYg z2Rl>UF*nX2R@4`GGxGeImcMyn>jihT1nz5ju%x6RKWN|?l3do{a*A}Mult*?91Gl0 zcj3decOR=B$N@r1G?Hb0g2&^b9Ar8_*Uo$IRzv~aZ<9IHvD#B^bDD*gr|%6{S0_kgHIurdiii1 z2Y3Z2`K41q=gD&2 zbHuM)Way5nSc0gtlEDBK*Bn82dBwpK7<2@HmR(%j=g<)N7%>kV0}?|J*^qdJ0})gn z9%p&H>2e^Nm6x=vVo;!ywfqHGBglqL$P;Kao90urlIKk^uZSs@j^?tmoq{J8Dqk50 zSHTjDxW7(^#V=+Fti(_p%}KIudzvTagk+kH#q!}$Hb|*i8Hu!(<21NXgf09vnFpZe zKm;RC6keyZd@9AnX_0~)-$1&dCxA}i$wCg7l9`EYq9+n*4@ctJv|`J+3l6@#mx-~V zk>KQHI6BX86RA{xaAuB*QWi}+8QMuirJ3fbP+vSVnrFIGk(SWJ2)&T7=!{KgAaydB z=DE>^>9GkW-=D~|%!VczIfvbYN;(s?m!@qQ2+fYJT{boTICfSm1$-=Cs!ukk;7(Slh7a;FbAQc7JDd3WftG;9{C%aUQ7MGdT zmH60j!*dS@cCGtYYPJ<81P1PZ#9h1o6i6UP`O(+Qw|uAh__fIB0_SDDi2qMW@czmy zmS?9}dhmUb0YO3+Gjv><6}bs5)fVsBHB#(1Pbw8(BWtd@?9eMWwpYE;(YB|z@8rlxRXEfej`wBgakwGeRxzTawhu1; z<|a*)HgF{nY~iY3wFw8!rTLI}>knH99-f`(H^E}>JvcsyikC2FK>=8R%V7(cKwtrM zGF?i+^-P#|d4*4CRfjPUaZ+5xQHMKgNyAGOYB~`iM@%ngdf7$6#ZS_to}0h)FK75Q z_Q^V5Qu+Y9r^gXlT*YJ2cnPqH1y>sJco0zF1so*)`D6waBNF5_v_BFG3TGfe^LW*T ztyn-X0|7Z?NR2zZ%GgeV_*j8o10D@1VIvuSDHx=Qr@lyw(*hAo>uFBUkhwY_pu;1; zT*1pghx75DTuyOV#Q}RQ z3&6EZo`>fmE^pzs2~GLUoL4;en7bNfA!;hdS${~cs_Pss8M z9;R`DDaagg#ZoL5vstT;Erj>}>Q(X-iDS5g^Z&}ekLWbDw(-KV#-R=hdTzPF`j z^NE8`?RfpR&8vR@)D7Q1_{=rE#cL;85B9Vk?d~j@o^GC-?TCi^64`#5A78BbX5yOF z7OtZha}W(52U1r84!|5#%p54v;jkPTKmZwVsU<)4@QZT5B^lp0v%XS@-5C-|K?)t1 zg&7fK4XkK@3$uU;x?kvQvG}B(US43fP-fOYLOUo+stB5H0*e>3a2e06fsr9cqIRJg z06>ar$7M6BSTTZr7ytobz!(6CJl4jGQ&^&tf|iAAm)9Fn+=xHHHLXL=;^Czq@GJ}0 ztYC;il4<$GJ~5$k67XCQQiZZmj#60yTi!@QrT_w8RY#m?rr;^?I13Ueh+l9kIL%B6 z2EGJW)50s4DSs6+BbgLw2>7Iona&#-#?J9BBY2oW8Hfe`#+eJe6XUEDqf>$-QCga_ zY0jo_K^3@4O^~@{z#AEHJ})mY5P*!RY9$pd#xb+xx$sKx4zv{Jv^aTl8Ktvew|E!> z@Xa_rI`?m{ZynRU<;;Ki^u_l?2A@&9H!>_=_^^Bs;r)=|&(~TOvWyQvhI5v4!k-M6 zQmqWBer#Gf%cd4<%qqa?l9|ckqnm&8>dG%{cV1*HH)#PrY!_mjco}Jqi8u*r)4cKnlw&} zd>e)>WZxj79_H1Byf~}K5y^-MZal({%q2T>{0PkrCo3svYz<>W#k;&+ph zGRxFrA@L>nvQZ2^k?yB#;Jl)p$f*liWnT0!4vS_yC(LnLgjK_UJd%~btiwyhwIShhJ%w}#Sn(M&@uS(D8)EX>YVq0laQx})|@%@O9dE#Z@ z+IX@t?o7bhfsDZ58Gu<*)6aLq47dph(LvxsaxL%>6_39!PGS`O;SU^-{K9~wHYqd& zDR@q5_WA`;=t6bh<^Sq|Y02p&hOTRXV?a!xby zrp@B&vUuS?#vf8SnV<@09hiXt=Z{kI9Ak!Ul!XuwO%G<630jyTR0r@`b7F;j%EGXg zw*j^6G;JnWJbgV0E)O^Wgv3UGKM`mG96`*GWJg3hCcC)X2bhC`;s6x98SxD)4*t%H zF^Y|_dL(Z|C^MSX;wdSf;^TQStLQ>T%28^b*66%W;mL#^1FSD^q#QQqI_UeW|3Bj1 z1E`H`TN`cXneims6FtEmdz^F5Ip=H~0Vhn(U~&e7!8SG+Y;rVUaxgg~q9g=}q<{hf zB!n``0lmE?kI%XH+*|K|Re#m1TGh4HYDul`-rrtp@3p=!V&=ny8OE`XvzkB*Hm2*F z|K8p7D~U1~I{nXG`~P=j`0Uu|PZj=(f~g;{bZOE&Jq57@#Bnf09fvHDP;Cmt`)bIu zB$q-iQaFx4XHTtDB~U21x}gUmgJw#=Vs(v-wEb_$pd(<53FZ#|4iWy`#78_`lLdoZ-6}t zHT^T7!E;tHz!v-k&>2+<&L=e}@L4Fr#nQ_*DIxVA)La0ch09esK>^@W%H*tn(^XC$mlEOgGYM1TL399O1h$Ym zA{-hOQ|V$FRZJh1jk5q6h&ck8fUV^7rY0v;f^h|pqZW=UI4t>u5GLi@N9LPvV3n}GrG7kmjC5)JSF&}aDU zHo(bn9Zej}lT?D36o!^?_*0Hb}?<0%|8sNi`ZFV-(9^wEpkN0GYI*zS}3cE-{D%xiXGiO9!E=NIE1GQzbIs$p|?T z0MvqU$q+D>m^>;ZjERW)m!Lrt6UK!^0iVbm>juLLc&^yo9xf9%Chi{Qq8Z!{IdbScRoPmdd`9DfA`mQ90A`vY80IKgzYTW<_ z2T;|henJvp3qY7peGMJ}8Q1k10U*5P3khN|St=z@P7(*!nlvBXZ`NWj27a)M518|;a6vj%r|NHqb!+#BR?x041QbSWs zeNrV>!(sO`#6kB%|EJXY`D)FWMm3^V($y-eS~WDKp}^cF6$O|^K?Vlf8X6QV*3hQ3 zdUmf7jRySss7@*1v%(s-(A2K=;G0X#`M*aO4= zPcWs2Q|6~{P(e2d-W?E}>gIWLTo!t8)=iJ7nS40|fCE=G!j{uHO2(*=G$H`c6?F_e zO9B#803J5lu#imS6Gz2#rijkuQP?~hokwIz=o9j>2{{wE4daq=wv;1Ki+~d=g6l`B zVX<5SSMy~6bJUO~{a?8U|Fw4VUzub7C&=*sc?MF433^xn@i;Kh_=N@(kapOPHB6=5Fp^Q`AW7@r$HlxWTnXL<0V!E0&Lx0J zk6O|2NGFZ)zxoVfB~Pa)#E1MDO7P@R6dLlRa*!)$>X;Q-0N6nQI3}S`#MDtW3t$3( z0_G&ReS-iT{)G&@0r~_UP8JCVptiN3i_Y&H5#gBJZWb3O5cKjVx)kC8i2$#V4k%@V zI{7U=d?3|O91Zk+5U_}4!=T?Om7s$#fN$_w4i9t+iMUNPi3Z@HW4MK)?K(wHxxR~m z=THvmKpFZlS*{~A)~gypZ2}DwJhZw{VpQMd^{@c-2w*ROP6b@2P%`vdGb$J+aCGc< z95BA?rQasFed7~&m5TmJ|41)Wt5drCWV$^XD8$45#J@eoRDy6!LY9h2P}T}gNWzt! z&w3@g6)3Vo)yosKv)E0*fcwN8Zv=i6PuR;9;Kw;QCL7D)_sEn(dTkNCaueicge#;h zeZN!c7&xVqy%Vff&UlNE-!A002zbqWUZZdV%^7Q%7;WZ{w}Up=3fLW!T&z&s%oCzm zZ1`IoE?Ooh=s;;iKLM^E`akRYfQthzAh=KfV8O)!+o^x9DgXq1z@o=tjaHx^%QRZ1 zOhKQN0Y70F0Ix2m2+mxoAZ~>>OtIFgf5Z^zpgBqza-!Nm43@r__8XVGQGO&@TWK zOfp7s5E)>GloZAtati2@P%Bv_(yI76RYa&NC674^!MHg*B_Ezrf&L;!cXgy?rB_v#iTL0yPlCS& z#zf|5msAcq2zP`#n2-?}m-?!$w_dK3HWN%zU=gl@snCiAQ+!lo_PZ$m%Boy8hpL5H z`Z_$3ksr1)@(NKj=%9sRL zN3GIo!B`BwZIaC$Lt|=56fB6)^|IXx85p2PBocy7eMvY(?d>1L>cj!TyJMg~suW!+ z`2fs6kqH$NF_}adXAe)oxuY?u5I;(-(?WJEsua>8@_D>rBC!h!zpA8K6-z0_iv=AT zwT@Ft%bnl@Uk-Aefpb&JSUO0jaz;wEsy?-xs@8DjO0Ej_P$(>@Rslz1OfAu^Re}2p zTuO})3^5v5L?e($h9G0GVsM;RH>DzKrYJgHa)D66ftgVXsOqJg5Fmi)3`Q$cLaBHZ zQpjpJFuO(}0JjW0C%h@(s&V1es{#h0a>(}q&Vdh}Oo@C*s-VEQPb+}rHi~h*)~$L9 zjO>#_xsI?=NgI(T$_ zNYTTVjEoAX`uaG59MZP%r>G+B@T7J`pkYjEMrBhR{hF+PdilT6p-gHa8H?WZ#cuyf zc9O8fS{8STB8GEBLct`Qjuyd6E-p{Q=E3z-?&r2h%M++3feAH}`f__%aj)SE)I7nI zkgMWxlw7_Fyc7skf=P`?s+9mgha+e6lpMZ_3l95qFlgw|19bC$K#ZkNgaB6<7+*UI zi%E5Du7&}OryAE2n(1kafQevjjsQe+P`yh&E|!mT)#H3!8q8fk4nJ|4m3k~ zO0`G@{YEG|3)MDZ3O!WLf}x6x3CVlpdQCmZpA2rdSOdj7CZLA^Jv!jLF~!47;3rJ# z3Y}zBV4wl8f+Zp{fU6)HWby}?6TM?>Jd4{8+N1n_vbdKe8sKsI#3B-l*9)Qy&_k=s zl3&ER4^MQZy?+%P=v-EkDxRc(QGg}xlFGpI&=nEwW9#@JCgEjdbWlcCWP4k&PKIEJ zH-XVk4JRc8hKIY9m!;I!Wcm1+zkBBk;Z8d$5D~$6L}PTmit>5&!o9R4{bjhHtGjhe z8|c)0ftVzg5mj1lep!-@qhZ7wpQOal$0nE29i_mJQfnFDcL8Jp-yra*-P_opw@D!$ zfwqya1BE=2fZwfB4QRCB-Jd?$4V5pXI1j1mPrxG1dxj`)%yA7vX+x9yYHcg6ryv^;v4{(KbG( zL8~Elb(VR0S%Y_jpLwKbM#xmdI^}B)a1JFRs#r)%PmQ#;x##HgI6NY_t)m*grJ?{# zfY+7}MiA8f$5(v(9K*u{Qj?+uykVsZvSh2FxE`NG#zemg^z(5vwKnz-bMMC0Yc+h0 zk|b4;L{P17th_kM+u?DrpYyX9K0`E|a1z`UHgNxBvLW>pYmm_X{H33R^8+heqvVv= zTpk2E5O)ASjV&h81%x3^ADur)eZbOMoI<1pPXw{WsN%ZsT z-~_}%mJYfnbb0T3Np!JHHUSyoba@o|WpNN=PQY0O3R^nNmN9_OFd>G-YoDnJpwfYq z&Yq-0M?0Jy>A^aEoF;4H+5h`^MPfEU4G_l+{UX<*SfX7$F#ref02DD)cdE!Bymc-W(CnR`mPYIdY2)?SIG$#Ii$Ur}e&cL#T zJu>YON8Vf6lvZB#9$fHtY{~0LkHW%tbxoN(8Ii9BgA1K6CwO_=P-#7bgP54OmyuDy z31d5&5}EvPpJxQ|Zgs-fv?3iwk2of8FWqvo-&Iy+C8fR&ed+5TRbi3KHU_Swf;l3^xx8$^?>jXNdojPq#7SN-Wb%$~3~yiqD@l zc0Wn)!PN)0Ogk>svS9k3&On=`7y}^*#3fxMBGSn&=)`mX2V?*~q<#rf@6HK%L&U+l zK?0ga>!niry1Uzf2irf;-q(+UI)l2UE8Xl9jUyk1% z1*CD^^bwp*lF}h(qiU3+8euBv;CO)id@+s8#xpd-EbVwJp&>CX+|$?8-Tgtt3%92F z+{kzSmmZxi=qpa|%MVZUEz9u3_eu#Dnae{6vv!lE%ufDB-!yCl+)s&PcHnr#Ddul=d z?W8w#vYOGOjmbr?N5|osOLIp~Sy}9)sK2^CJ-@c3ysR z7rwEeJT)~xdPFqXG*pToYl%tT3pabN2|4W_$h zWVElQwxF;yv8AmTI7Mak=>!f2Gg6PEHpXYX5D3OvTWeZdt5D5ltck&r>a-qe!w?U{ z*V10Z`(XO&nG@vF^6cuG+y+!WrmF(gSvn>q6NP>4q=tg>WT}KcNNDZARiSaUxSocr zoOjd-{J54umy?|Q%{gE!W)X`E)AK$g_I5RcUuo{FA#ea}^5Y63b4!y!lu%nyoccbt z8B;kxXs9WV1->Fh)ZaPU7W&RdAs@lFR@9bdl$EA2X;@TC0h(Adq8XwoNZ~2Lo(R^`lGu0(yPwSJB{A`Y(Qd+64|%+A2sjL!!4VOiA*2Bt z7175ghk>ys8EnZY7^FA~CJ1uJCGdFzj~Pci#Fx<|iV3-zr%Nn{$!Y)8+I$KtbV;WZ zpLHcZS3Scyfq(YvbIb&=oRqCq3e{R|!Qe<>6EPaY$?V`qpaoH#lW(x1*SdBzPW-k@ z0`|moiGjVt31hlMu|2{#yeOehoY*f;By!>h*}#&C+*C3@jl@qU3$ke9tl`Ojx9kx~ z&ZsnZOjbEITFqkCamJej+?GjRhfIV~h_T8^9CNaVE$!ziNt4T~i&&a?By&EzqjdGwd$M|HHkTNc!G6h2n4uQ(*Bailw zM!Ne(aNvf5I}2VC$9e{tJ-A^^^H4LI+>XN6x0Bn7@l|=GTHl;-=hyD&{^ounW^9Z& zMChcDyPD9oYmRT5cWBL0qvLB%Y^>|4B@E+wy6cDoEmT@(9|e8r;+`d^)}HsgyYb$U zjhA<~;+uPVI(qwXUq2g6?nY8^BOWJ^elHBV(l33E_WjS$fFU@VM3Oeb-Jjpz8so zbhK3s47T+V+SucL`%iD(aAxyaCxc^_=dRmau4>3=uFGh_X4lmw^>&sdqy;bD_1l4m zM-JUTw&%(&j$oKHgd1ky86&+M0kyCuYxA)Uw;gU92b!FIe8$el0@qX5-C2chFKTYf zrn6cDo;n`7vEL%n`OZ^|!?%x>*5rd3)d244&@jf-`R;w!JC3g$Y@Rz9*cfD$r?+Au+Bcy}NJDKzO6z5#Glnik z;NzzrpGz-}qfxP-dy;4v+9(dhp*{f))&VwwSuX>!!_PiEPZ{m&B6pG)y}d*XgH3Gh zL+!hH#4^guIrHVoN2gvTJY&%Nz%7%?*=*6!5WU6A?e3ntXC2~0ZunVWvp1%3Xv4#U zbjBb@G{zT_!V>~6*k86xaCgdldN;__D$t@Fl~+=o-q4)a){>j|;pLk*?m4CL?bJ5R zIKG|Sf}ylwsqNhiZ0}gtz&L)0GeG4Ls9Z8II-dfNfeAbW$q0Z2j*K}j9iEVn09STg zLWR8Ia1C@=ItBSLz{m*m;(+G>>pef!-~CGQH$gQGjcZ-lag`W+)oNib=!>9zgTZkAHOn*=^%cSf}^NO#n zs=u|V{?4`rvCTv5@~1l%&3f{2woB<8*D_$B@!gY>&-T5`$IsR;8WU(GG6hE}gQWpH zH3PUz3aHllAM*^5AP%NeYE&HERODoO>a)yDzl`@O&8S8eb5tnc(P^Z;dpGChC&Ecc zE$|A4`3f3WMukgAA_`nt7STqy#8DmzY$?p%ek!J$*xE&C=^?cN1n8n-@U+ezDi$=_ zNUaq;)w%6OX{h}7twk@&liZ8mc-JQ#_B1+Zav`N8&F7ilv*$rx?vAdmc2|wBFW9pD zn{@H%?~Em-r%+*5nk8(*8fY|)E%5vZox+z-jQ zxyf&1!b(fh*X~~R^_D*uUqA5knXSuDZU}tt^zNl|QT4mTSg*K9PZv*BOp^ z4zK!c_sX)W+`{7Yx|+O-^7oZ>*^#L)zF9u+_kAmuT-o>i_P-8XJp#}s_d|3|Ra$(K ze`KuN-Fp}3Z}@f5^<4`u?^v+)ugrqf_SVXx;?%s-#1A=%lU)I`acg_+$9T`#pQ*)1D*99b&aU}7bzigw*4~i>XvyocK&=}^EQM1BT`yj zQ&DSsNq%mWpYJ0p2ZO^m4;(eWa@F7F*@xE=#j$afDcPvJkJz$mTotOX5g3NlhNGbI z!?=Nw9wHMs5`$DWfigY_hapz)&^VsX9pFeQz_W#AVpC%(B}FNxg1}!r1+!4#lJpdu z!~ZNrt^B9&>&2{PaM_xz)K0*)6`i}TPZ(2ZDM~F5xYswM>k*Rz#CZ^Lq|US*LabY- z+qMInX43{t-v&EpTKCSh?g2K-20z;lKgYgzj(yJ;4)`w|2WH#%&9ueOwuJ{wH|v~c ziUr#l)?NS2X4-TEL(H20F%)9jgqYSNkLwVV+L@NP>E>9(q#b$Cg4{zP_nTqkQ5*8O z1u<g-Jn?{)>)o{`Km?t=<+(&L8kkiHJ^#d=c#SGU!Qgh^uF)+izQz{&D5_ zysL+Ict8H>^p?=Lu-9Qe&qAJfJ+pru;&SWpwS~tveSL1%AwTPd$G5pYb9?jJKk%7j z)VqL}5gz`}otEuh@z=@i>mJ=$XY**+`8}@_U%0qf26{Pqx>^T3^Eh<<$f5%)7Z{%2 z>uUDP{!J#%77-C4PaGdby!MKCTo#Kpwk-NfAN zs+q;L6?@k#Jh^Yf<2x(P?LU9-TtI-OpU>kUzsJswx9^!<+InWk54%>bxOQ@_(RpOm zuMRJ~9ldQ{y!3Vj-{5fXw&AH?S1(y~Z2Jzoo8KK+b<4*1&70@W4(9%$UUpAzKX`O; z>6+jFIIw={z0-f)JGEfvil;Avp7^@Bc-i|0K5=q2y=HRd*S#x$y|Qn)#dUCH4qQFv z<8SL|f78k3=6#E^C(my^bY%UvhqtZ0e|g27)4v_wXzSw;AO8xRznSH2CkvyqH&6a` zY0o#;_bjrwu;$UZ#Rt|sdlUF9%r_{&DI~zw!s3#_!_%wIY(C{}dMLo&E9YhK$G0!b z;u7jJvYPX9oAWC=%Bnibs?jA)JymT3^&Nu^SQ4s-){dvP<4DaIVpA8TwU3UbuzT2I zGB758fGr}9kJgPd8@ODwR5qxBn$92$hgz|EH28PZBg1D*0P(vX6Ldl?T&;RStkq@^ zxybdfFWvEoO&{XWhd6i5uxX!e-3GR^?9el9JEmE+B9<+{rdf6Xn{I`kZrwh^rUM-C z$?Q?nY#XQBG|sd`&9+6&uxglYSwG9F5wWTRHqE;Jzu8x&9l++Ap}#iofM(G?*Srnb z9GjL|R;U>kjnmB=fPwZGwykp=IuPr|X?D#s99n^a24af>Hr>9Zjl<$=H8Le1gm^Ly zgR7)T^$PF*feaeR_&TY}krS#Fkk>8yLs(?gW2sp7i7E;jwDqPw&DF<60>lWxcgo=;APR zfpI7jzAG>@hgF;Kc3~rdPMR86L8~h9|dn)MhqSB-EmkhP%o;aOI1R zt(|*n<3{UiYp(5U!BrA474u<7e>%ANH-ihid_3G=J7YOjTc3Wp{Vk0HrQGD){#!>wh#na^R`Wl5=~j z+iG#x`qHxWvijWiwh}O`A2K*S@94gr4px7iIS`Q<%@}FU$qcRh7>#PmK;x_KIzRaB z$j&u}*N%ExuQ51ThHu7Gx(bU@YAUlnmZWsy>$jdbwqW0;9Ztr7T;88hl1UiESCr-# zRpw*yWkho8Rig`kpWgHH?c>Koo&P$$n|m1Y%2F zc47J#oBmp3bL-c8=Pm|195=j38tO?;k55T>U6dPBS)GUx3Z$V%A$g5 z;zKIqqU+MYfRfdaT>)XCyb4{2>aA=i)O8Hjb&{KUhueE;ZCFABZm6Yq7)>1O8Wj>i zC;?YD#=B{(E<7%&w>ynO{>bHF6l%H}c6^}%R?x%b7R?mQ^#$RE-eB@4tWWu5x)tY7 zbun5sT(`RsTmFq*?kv|X#1TKo13lBNe3m_SI_UVe=sAwK*$$o4tib@?f>^a7u(LN# zvu>Jhg911J40LnQ_ov%n5UUPgpfST5gIKgrH*cS5i3SZll7OASKtqo$h)t8OcQ+z- zO^96sG~0T_t`0G8L@b&ROVl*0=IPcg|1yh~S=Q}yY|*p9Sy{GDGj9faK%<4r1`r@u z36u(0*(XraVg0^Z@IS6vYPA~m6x7nyg%!Niw1A{kM}<-XSq4>*<3R=KCY5~Q7>7qw zYDeHYwTwI=CX5LNMtFTAf56eif3U)FMw!RT+c`w@O&=Z3d z#s|N?zU`a)hYkl@dnUd#^L4oDWpdH^u1BzAV5H~zJ3Id{JdRx3`lr`Tqxb;JU{?!Y zM^hjB$KE#H&mA9nJ^Jm;rZ28+`||$LCH4lEiDCXR;rGJq%>AwG-A$v@!na=E_rHA2} zgpi>4(8SjC?GKJGxVC$S!M-IX7w&pl*!f#nhnU(qpLcRMc=^)7#?kQa)0>c^%Qrb0 zUUsvKNO&6%74$4MC^+6Dr8pwKk9_^&$$k?Wg&G&{E2-oQ65G`%bP1 z;$YMK>=#Alaa(WfJ^19lT~?e&Q*ua0W)wCrwX-<6DLcL*rJ${-w!gl<^J80o4e%B^ ziKy6QcI=+6~1Gb1+!!(-~#JU+`g&l6XYail> zMa+Arx%5wS!XTE-uxne?BDSrF0|BuId+Mg!HBECsPqW3$FmIV-(*+Lx!Vw2tj%ikH z!1n+K8Z&LtUpjTobpl~RH3Gihrg@fa?UxP>h8fqE>V z2}kZ|qqKL?JMk3kAfsnkNEsB8>c-H;bkv72Y#6E9cd*<8UmP;l`cB+eB^~Y>rM<4s zd|H+mR30CX&VP%_+V6O4-rWPpjhz-{@r7ixe`=&fT#!|)Z+L2WdQHZ)P>V0mZJqt- z$PX@8U*OAXXK?)}5RI^X<2X^UwtvW*RP&5ZQRh)S-=@k)h=PjRzCjl}3 z2ki}zlPlLex%;dEi zaE$S_dg=V8@U3@D=$c1or{CH6g~=hClIYByTB|URCs9F;Z~Ov4!1W>ihMzU)xzjFg zx)|=9)!X2n^88Vlon5${jo-uYq@afZRzF`m_|^T>UmG2=Nq>>u^wB-s*COnRS(K|| zte1a$fU&>L*O#_VzqaFIsB3O#lS4#+QHY)OJ5QTeu0bhb=Aq6@ZXEz8KmY!**X^Yd zc^MW_{@}`+z47pT=kJ>udc^16*Ee^~IJ@CQ#FMnHI`^ojhTi74yzW2rx@R3^ViEFa zv+Ygf(v}6LCti1cd{Lfe6Xp9PKFIQ^qeZBrMTGkqfAgPBPJL%}<~Q35&)f5TGhW-h zd}82s>z?}!Gy5x^&uve--?^O@awGMHPiy*Xd~qDUGzD9f-0&f#D!rhk@FTjksk^-$XShcQFreYEj@@@Nl^gzXu^lE(U2VhT${VDP%I{bdQ6LBzo#BC(V{(mXoe zDV9^^F!zrk6%B~^Em9FyB^{hnFaSQlbqDnXFpYu+^MOIHf#qggs1vOm)2f*?Drx^M zdt|TwjPuE}4us6OUNp;*I>)RLam3BB9GvMu23>Ntap{*PImn&(udbxbxl;Vq_0lh| zmCdBcDJb{%rF2Dw!W?M5|hgFP23zr52p^G-e3GyQrwa-kSG z_wno7s5wUE^9;(6D>>jhXIw8u?v#CbrF4dI4T;SVXr+9$h^ORDPVr!lr5e`fevX)c zcQi!75QuFsg?wrfX4QgsHA0PwpPKRl)%;!{9M`c9sw7gOS|dwIdzW9B3Op;BhAvXk z`0^ou48UQaPxK7)`dMN!oClWEAVq_M%ouAQAT{=qQRv<}3>A%|pu2|9z-^##iDU^C z!|SUUX-gYGJ?pLTCD*tPmV1w&?7NGfHI<+T2E6kVeez?Um!)Q7DhhiV{=B?*`t`kt z#pw(2fhYkZAU(#vG&Qg!=}lIA$8gUH&j+(F?wJ4N8uIv%<;NJbh!&O;|FR_YSx#(5 zQ%*ro<(3EM<^#vz_JQqwrgdUkY*kKJQ_j1l{KV=H_4LmBZ+&K6-7)k2iP`4oQ~Enl z{IS)Tjm%aTy1@=kl0aA?s}1zoZ4Rb0pC-IIXF8c#3Zk8#wLsx>?t^?{d9|k&PwxG)15ald&bSRjDXidsu10 z&v%b}YjJ+s!(-MZaTpOJtF0mpSM|K^eP&N3QO0->?)u%Gy~wp~hkdPww2IO`?0ZaI za&uWudl^A87Mvgd^|@{Htq_>=jMPk(QBae?Len8CVYV(YuQ_r4#(-j%i-sE0n{yM(k_(!0t2@g1F z^ocGi8#6#_pbyoPNOj`?V?dn0oNkVg2q`a=RJDd8^eLhttJo zcaF2sGI5_oJUBT?8Xw2W#RI&F4jvC9llM=IwG~wtrePVmeLOUUcT#EhDxBxM% zooCgB7?m#$LIq*Dab#A)2rs&w_PnkCC5G~}nfwe*4{9d|wGH|;;GeY;pQ30lI@!LB z!*10Sk6M~%E!n-Q*E**msG8rFnCJuGOwSBNppYBT(Rx$vRgAgTWH`&yGMlvy6yFduU_ zzQG`+kuDq)X(c>0pR1UF-1RE9zE1PMA;X}422usnWpye2lUl9xMVR;5Gusaw*mCgT z_8T`YK6+^M{CVJA!;6t`{59Hfi3%3a>X89B4Ak*1Iv3zGS*(D`|61+XII9DL&PAj1 zd-`k0^bQ;i3y`6kf&qpf#`SRrIyt>nL#TWL`YoZ!rL)kvuk4M6e6JzhFgkdE!AL|^ z$5t07m*PW&Z9GPLrt&8h7{p3*$uVPbtR$ssfCqg4V|q!?qB-S=;(Z( zyFUgRoqy|HM#5${mM2x_CzWRx*A+(RCoaEs>k{ip?DvGkp z^Kne#F3X!=-8lH8!|gwO&Ac)aOYohEwG|m1sI11i+IDn&S;_4Eo925N{_JsQo9E;A zsLGm-ruX$9BTLegDsvk!EjL|kzq@t(d#`&xIo~#W7h2j>9TD?7y(<4@`n!zsyf+_` ze>}V6`^P6Pr$04#;rg+y<$ZnG`{vT5st={un$)_&&G#-Xy?^Oz+tc&!9`HziQ{CN> zU0;=2Tai*%mD$`BmXY+`+1*S0AAaw2ZN2OLB+zZEKfbT4NUF=vsLJmg?!W10`}5sH zzge9B)9A$W>JLTT_=MVu$dat6yrd>#$CHH6x#u>o3V86FtKngPn{-rlOkq|`Wqw>^ zaaw&*2eIpj?d>0o56?F{wdmH#3{+hy4wG76`zAl{ZEhNxHgMb9;j1${)&!b;_vq}E zK(`t!Hm0#6s`2BS;*1JZb>kpr;k5(vEiU}za06U{z=HR=sM^T9^vJyA7jZA+)89UJ zGWo&q++vse$f>Po-E3MZgK?#~5yhEtrP&{<^D4>c-|ig!)$R6=0S}N{drx?oeMHv< z#)Q1cc z$Q1+*r$eUbP^!A8rifZCO<%L7nxd;=S=kumFPh?Ll}w%B0!6K54NHdx<+4G=6i%yc z7#AwE!osc|YBNc1Z0u@vl7C~uAg%ZRn zYS7b|VkkMO6=)SAF#bZ$l*vi$2o!yToQ+B-@u1~#)e3##t4yPjs8mul6qi>0W1tOL z0F+AI+0uCmp-@M(1WAHGXV&O6sntTQ5=2H){g1Gu<3g=Spyu%uWR;x z1TYE}OC&*l23VI3OZHe2DR7^p6D(?DePLI3Ll3^Wt*yGUvH*>)Lp6UKAT&*B$HYpC zKtcI;WT0_+nUf@;Y)C94%j5(cCbzCS9)rzmZp-Z@)_2peJydMpa2G&^c48}*(TN$w z)e@VE`&v^7&}|4J)WpgOH_Ky=_}Qdamp)BSc#)R;GASl9ImYvK==#Su=D8ZqPjEF! zk1Rm9Bo`KhgnxHDb8McVgL6o2FrpT-%1E6?r5Y9(_6c+ZFpQqM+bGBebvG0C!@1J zc;8zcY;BVmfghp7WhCe27nW95RoB$LOG{dR_uN9OOUI+!AH+Nz9-CGgG$ALFRT!nUD7G=bRKPbhE2kVbj0in3QczW#^1@ls!tORs!t z$o)hX3o|&_MeFP6MR)XJZ+Ke%ZhYbo+sk`=&C&?1-F@^9Jh7>>3*Fb-H%xMP?f=8Y z9g7?;uW~iM^U|khm^#Mi)!{G=gI(wWJdejaW^w1Yd+_5IpE{P}+Hs8GT6}*cuD7AP zb5tS<$xK>nX7IDs)xVvMtm9shSun@6slOM6?dZm0YTKKZ+&sR-#pox;TL-*t66-3# z0cg@-6{e-UrmUf{#?{AV=IxU|xETNC`oJ#rZ9iumMd+>X>#D)D(&(hTj@sW%E_~x- z^vw&?xwe8_*pebqvD0$l?(~4Er=`9RqD@VM(e}A_Xxc##Y>sC2ec1g7@EYVi0l#Mo} z-@D~3@F@Mor*5HtKh8v!{qfDV)n9J@`-}C9W^7*k%l$LIJ-1v|{Cr!8&9tq{ zkiUOLwlAB#YxUf}{`_{;-)l__{(f$=D8+3@ws?zIbHerWFhJ zu3v3)=O;JAC9mwZggbnFVC{E{fBJUSl7;J5EZw^H=N+s6ym{<58-rh-7;TR5-gf`o zFS}R$d2qwZ3wxIy-n{O>mepr=uQR*wliQ8u&rG-an5@5gYVW19i*{~af9$~Ky?g#X zvVX<3(?2{o_iNyz9Z@c89$r~-=D^>l_pREwW&OUL8}{tjdg?IfKEFHP+7N7c)Ys<7 zsS_Iy?7m=Pck_xh37KT{%QNiXKf!IzjwpH)cAzmgN3#R^Ilkf6=kzH!tq>~yNTx$Lwhp= zC$l@w7S~J+FWNp>ZF^&Htoz=0k2@dVSl1=mmA-Q=h={CCF2a{K4%L3_EU4=)1IW-u zY;5hX1K`j#)DFO*hl=hT>KNh>hByOs9uZg%rLB{Q!c*Ic#CkNgY-Aii#v`xnN}s7l8(!@+K;2^g{D!6HQ_5a8uF+I zd02#!Yuja#AM7&`XVN#eXyo>Ll;Fhd={u;lg*LQ5&GvUIS%lTU0I#-@`RB3Y6Hzx_g945 zt$gGB+k4O7bN!Y@J1ucG{LAjfhCuTbp7(z>JO7L6g>`{e>)*Joj&oo7%6ft2*}op2 z0pab!ho=@A9hrN5=e7vDb?=@miF8;Q<^HF`gTu4-ulfG`j@_Xa zTOzFgc=lj-gwqe#4$M8g;m0$3=AGEF@ZA2{8~^_K_|_v~c6(x6w!XIA>u37Iscp#m zgnX1Vr-=@yqe3wEuZy>j8V`_@h0v+~QmYo@RKW2eRa-7lP%g*;m6bZzdz<;bRm z^UiFWcX}7HZ7H(mw~ddk><+fx5%01x+~QC3v&h-bdVc{#2)Bwknz?UTvAKJ6>vDsDFVpM-MKn7HQZA)J*n%E4$0Y`3Y zCpBZqt?h$N1Qwntrjb~^I8tkSU&A1wvK>=MAHz|ZJ#03e3ibBgj&NeAR+{uU=7IE%G% zbe@U{fC4feYdDiiHh=|*jIB`dbi^i*9SA@Ka2W8tjasN*Bhhg^(KRqS;Z3QzI!qW> zDMul(p%P9(z+z`D<1;dFBt-q%#4ra>=fZ_cSRSq(;RuOr9-c7N*om(uFwkA(wmu5B zi`0S9B|~BxwkDZRx)2;@p>~ zc>WpfxcrsFju_ANuk1Ibx-Cn0+LGz9=bh8$aO;(C?N`T`uX}60Ez)vxnA!RSmmlNo zR;76Fig4QyW4+_O``@ojH-ua53b)-CX}{&I?V3324bhI9!mRc@wb~zKy*Jc)>r=C> z{wC+5+;2W}+7o2DwyBGzq5kny$%o6Y_Y4g_26d~Urv#d}?}!v;T- zQvvo%Ja4TIHd*`Bbc46a4tJA%zLqP^5h_hcFZMo)^`RaJ*Wswe>Qv;VK`7KQI`!&ONQL^XCB+p;pTK$~l zxH#Eucly&)IpO=VLcm`;k>Y#oL-57?=SBtL4keK;*~E1B#Zx`lh~` zrk<+S{ss)88CV+;1x$|&bRHSFtQ`Z**umERfsgH&TsjjAkb%J_vN#kDmnsr4M3VkV zIZ>jZNfjeHmOQBBF4jVoLeS0f!jkjs_7yr2jIh06CjC!+BzsJ!;P5+WGeiZp8PZwc4BMtzQ|Y zEwJqU(!P26?Ydt~@-oEQOsRH>UFp{*EwgND5Q|R4v}2xiR*y>Cr_rwTDL{;izIAAt zY1KB{rbC~cFx{dBH0Ic%XX&yg{_sK*_-Y1c;s>jI#H8+PvogeS5P4L;@pTg{$XAUE z3N+kLXhsjBTFK~)`rM%RudE`x3<9jq_?n#zFhAsLvd`hp zKF7No%`dM7Ba7MjMUPG`wZ6F6^89j}tII7fFM4!lnZ<=QwpUkKUs`2xVe$Rr>x?fg zzI=S?%`>YXUiF5o&MwY@xP4EtTDf~((KAIlZ$ICudjG? zed)c+D@_d6n%@TP3yaYQz58CVVc%QH7Zm&l>-0yF7G1~5BvcvoFE?={qKBike9s-XYZ1?zE z><F0Ryna9~67x40ctHogtt7G1_N4;#o_N1S~aUVNi=bpM84R+t>>$uC) z_GpmHA%CYmURHhviGUO-Y~nJ!B)FMtk1^z?s#gs|AoW8=k`YmtC(tc13&dit^q5+H=!$m%R}V+h5u4igDc*@3uMCZOdDiP2u*t zB3w5nxUP$J-jwLJImvxPyvvqkk3CrdyEFZFCOGd&cHNcWygSD6K!Wqp1ea6Eu9q`? zZ)OD;HS6+Ydpc-))_|kU}xmUlh2oK!z>xp&911w_SJ;$a{y4xdt5uqdH{U+hBtj;$g58i%7wxyj!ZTolF@`} z4lu|s2UjH~J`+g(Tb?JsuOHPv&>WTod+z4=#N^p? zkB{Z4TF4Ycm1zk2=|{Gh1VRbw1fB}(a0h^~dDJPbctSW@Tvd?xAuhEbF)2SLAulqf zAU3uzF0LpZG~VXDi^_?9mGSy{>Wf=8hG*_y+;{8vw(CdMUfI9o!tRAke&SynXc_J68X`XYInhYkxnq@uz)je%ZbDw|yIa-L>}jz3agKUv{kcZTIS5w=G|` zW%-I7t2Z6lvFgC)d29asYSqGdo0j}PjJ*d~6xp`^UF4uMjseNJ$ytICbIv)EW0O14 zG&$!ih-uW(amI`R6%`SXjDUimU?zwHf+C1W>i=6^bG+x?=ic*uKI_@8+FiYCS5xb^ z-?b}EGgFr_p~;);Dq89*Sdlhsj<$@sj>0^{8OEwpb>)B6SA;X&NM)vpnu3*%(mXx6 zd3p*93}A3(S!m6eqdC)@CS$3szHAO^W?SjNP%k@ISAM=ed}@7kMrE-HOc7n0r-${G zE%cSl^-!u<8Yr0Q$QWwKnP|aBSFsh91*C(G{${9*Qr4U%yVyX^+CYAxzQRI%e5wkY ztEV(aYsP$<+!B5Hr3MPi3{_T{Dz7wATyCVg+Dyh;OLmD4O3InJQ1iEWYVcJzFHqh% zPhp*<#+HSu8|NymF<0GSA-~F4WxcuL8q;YDY16H>;s3TsYxZ&j)M#y6pt)rpYOs#N zY7?xiv3aigCM&r$bLH30Q{BAS!g+_rwq@G8R>4=eYmZ)r7s(WBP zZO`gCc54i6R?_w_SJ}Hne$QgL-PVeG7Ax&uti5)w+8PV>&GVHv&zIjcS7F^;`IY9X zYv*ZfwpLy@52mtyK1#KX3sD1Kb=xZCO-tn0EKpf*P20Rw9nNwK%{B8?H!RZFyaem$ zY+q((v(D0C(-QjbErG7C33TsNUynF?>fedi9-hxDIbT|LtFHOMla}h2olnc_?{Fj^j__E?jYgOgr;>IWWRaLp|?KL1nM_0r9o@ehry#O5g2HwH?zjct$iuuvi|Gg9T znS34U0Qo+n@9)0zhkmbnCE;|OyvEqCYAXE^;af~zXyjZ(kwMz+I>;d6RWHmeChW>} zbDm3Cj;6 zFjvB^Qi4_XOW;$&=jjw~)r$#!=frnq|62sT1luJ%o=Y;SrG2hVrJwuF?dnv!8&hnr z&-T7MV_&8T@2_W{2VZ_2k!2PVZdJefJ`@UU5%VlFJN*1Bf24onRo_tG=kcD=(f;q_ z@BcFy#sssAzycDAiLr4~as2bdzk#tIeG{X-XU`;G%02q5wPI|tx1#!XVoE^mlUoz~ z_OByN1EX*IhF^aCN=`q%>1ll3-2g1SAAB`D-k)_eRnJtH5G9;_T%WhKR5evpHJ28* zR6TrAQ_@;p*jx@PdR|%ZwDe}}{nD1|;^vAwPl|6oF1}G;biV5D$>M7#imv{C^IZ1j zQ!ytq!%wD1o<10QCNua<2J=_~@Ar&|vpL~^9*Q`V%{!LF%!=k6O$a)k

P{9O&d8 z?(P~%ca8O7W(6^`Lu{ixZ9;tP!x>IdY`X}?ZXSIb*A=#z?X*A4Whc*WSD^jg5GUBa zP-ojnce^P1mH?ZrJX_di?txAI`?0tq*kMn&%g$i>Mz+Hyj^j3-E6VjuyUqSiTLWC+ zY+%`=28%GPgPL^=+pT^M8@+5c``GPdyTGuxgXO$G&~t;&&aJF{y8`TBJN#|7a}MkY zbT|;|vNhCZSER$v2>Y#p`?hiS?BMR*!rHmsYx9Ai0}kO1juDPF!8Uuid$u#T!}bR3 z-ND+vi?b89kGI!8%+5B%=0MPXINLeen&2NtikU$@3#{aVKjyL|V;-{iIxiz{qa z!H)8@%BI&3#fP&Lf2?(ULuzbfKcA?#Bp!YUE6tI*AE1Q{Op_Di__MeM&N;!r5=Sw!r)vm&o` z1e)P=v5+eWQzYyQnep%!|C-!y-zWK_A|cnM9IA!=N<xMG&m74*do1OTL-EHC zCY?MKbN*P!9|svZ@m|?6o`+&wv!fi+L-!_fcg1k*QbS?($w9lJjf3g?VwkoG{_DNA zZei|4ux}5v+Y#(&m+0%5%Gni3KM=>-9qqd}ma#vcwLgjDm>KMl8N4IL*Djr9lgdEp za){@e6X={B;E>6&&tSVB4ROj2u*IioOuG!WeI^Gb7H!kH4hI80jz@X^9&MKquqTmm zAeDm}m}6Fu^Pv!zoKV-pVQxpl-H%4V=zqjJ9*uyxpNfM~J}P}LBzpZFNB=Y0?Q|r} z{Y(^mkFznpXCwSC#Rugk`Co|jJ00eCGK6s|l=(*(21HgCC*VjRjGgW8m%;Q+XD|=4 zS=nr67Rxuo&o7g~KEy%kmFkU>mBsT-=g{Ld;AwL*9(~FnG@Jn?MKFSU{!s2H8 z1|Mby9%iE6Kg)-8(91W?-6w-iPj+S`d(&gx9ip9`6WrkMk90|0+G5Tm5%l{iVwlf1bI2_(9#Z+LsR++R9+% z&3B%>D}CBgark12=oArE9fkbjtGz>STiR(wBpk1x0SEkYTk6z zz3FOr*Zr)m=Q*VudIsNp`qthz-0@#z_})J__;Q3l%*}5mY|e-WloMWs1gl2My^`=L z#5gYOQds$&U;eQjWFS1sM11Ron1#Z2<%Wlz4e|Mn{a>f}~7!Zwr`Ui$R>W00og?Rm)4$e4JNCrHj}0_O;cfpmb#pQmV$wnqM^3DuKH{(6%}KehKaU{ zrn084hPIKmimsZBiagqpqp8Vis;U}l$Y?3dqRGqYDl404>dZHy%`=>>uY%TKXja8U zN5kAe)l^r}kOnifo~tu&j)u7rjAm&9Q=em~Y^bZt%=2>i6I(PdDl$KkUE!eesh3$6hJsYjI zt}@%O)MVXaYeR#jCT6QGE!WS7S*)7}o3qAh z?#6|1jFy|>Q^RGZI*SZ6=jouFvwDG^wHei0qs7JsiwrGSTUo7{Yqoseymd>g)>xY^ zn~O|s?%KszT)At*$^+|`?^|QNbNRe2*7LV6Ub1`Tiv4Rzvt7ByaqU{Cb(`EbZ*bkX zo3Uqy&rbMT+_!A=+71Wh4!_;oeRn~JZ1vr_*>%Sz`mPOLyVrT{T0`Hpj=p=Vx9x7G z({{&=JDfHh@Z7WCWA_1%y*54v_ImBz=WDyxeb)xhy_>xEZ}qd?!E)Heaf0pPy6o`Y z;vV7b8}I2DWMj+S%S!Z)J{}xJ`xymm=|)GoAhUN>fdqUN4e=oBhOsU z{^N4?k+Ug(-#mWf;rXjYf8~{4SiNbr#INFi=bjqoe}Z6umDd;4Hb1Pcyzm3K?T=n|K7QTx^mTVLWJA|yk__G7+IoiDfrU>$-uI1m^&$AiK0p6FChvNh@G6sl zTJU)&>{UUM0r5*O5_K!88sR^D|5nWH65&xo-XZ0CPsp~+B&THvG28P+%I~_6@1v=X z1;qXCkH|AZg5;pKKoPwM@97TBrnVGYu z&7LNsC<_BUX3EP>mz9|+GYcjoI~yjis34~xPr+m+YUJgn$;eEXmHlgWmJu{xR@jw6%~O)`4VV_Y*AWTY|0ezspzU0AudX`B!Nm%aWO(zNJvCj zTv9?pN>W5jR9I9*OhQ~rLQ-5*3??BaE+!&MnJ^(FDUQ7nhXcc>s1y|znIa`EE%mFg zuqZ(YPm!96bwmgPI|CCUgr`dXA})$=NlHmb!^A|yf0^^g4(!WYin+b;ylR}NuuQTwG*e}0H{x(ze*Wbi{n;|xBy418O zk~4pil9?_sd%D=H=@K)iUv5B=GxlE>PD8jrVEX2KV=b?KU=hff_u$k#R( zKW;54s>&;=$*-u+yl_~J#L#b-RYdH&AnN@{*!AHQPx3Y;33>&=Dk8i}#663vfAEVsUx~Y4CU1E3ts;Ey zh}e{wf(#S<%Fa)sKG#J9nx^_z3%T4SR-7|CSUB;W?{c=1aC|5c@`&I(A{Z6Ytje1s zWBlvFI+Hpt|e*n z=gqOQG_kZaTQJ{ZvGtrqZ$ z;QZV)Wmo~Grmm?;)7H|~rD^HF;QaKpb@X)g40Lq$;cIAWQLCZb=m~x?6&-CgJzW)D z9Yrmgyru@$)6t~qX=&?g>u95c*sQJ|e0?oFm=1PNL;I&=tY@UFW2i;bqiN_E>Khs0 zOB$M3Sw~${M@Prd&`?cH4UUF}1{_VnKW#zhU~u$wbd3#-OpQ%Y8t9tn>lo|M474=# zXzIFDsi~%;r)^|nXs)YesDqB^7^!Pu7j$9T_}OWi7zOZS;1tLSMd>(W$B3>8fD<>wfx>eDohbrI=m23lIC`ZNX>HZixfm_K*!e5)mk49rZ-=343+8qAn2Gi$cY zZ!@M7LWHid@!HMn10%UO@zbJk7rn052w)H*6wWr7_ zIzGSb`uc{n!MEMR?cF0CABNlbKRR+>ekJz*fkB*byf2Q}cSpWoE$&`UkwMb?Va+)I zLB~r;k6a<2a>BP#h<#torrb2^*|%|ic}E{X&n37`29ZZywgj`e&aD|6HNR(h6}1~?4nm>F1F7+TKJF*VXPGouJz*)HGG$q72O;=q_NmD~bO%?f# z0(=!^d1WOzZ7p?eElqVb6^I)(Rb}{kx(G;`CR$R{QdQPKJ9;{X@Ku!5)m3Sl>e}!r zgHIWv5~hHD=;*2G>MPN-;iFX4)RI+ISJzcn(Na;=P=vuz(@|5^R#m1ctEwnrbGkZO zG@6FGrW!i0p`)ovQ*uF-0{6 zC3QtOl&`L%2vgHmfKfh7Lsv;zO@=HrWmRZ$7_61GlZW47D^2X;cCT2x#bP zlL*w(l?8HX+5iP0qpfA2ZD6LXYbXzB8-oT0SQDmgW&~3=1SoX%^z;Bu(8p+wv5uiO z(nCFS1Km0L23B)$7@*XfV`OAyW;V~v*wR?fRL|UciPhp|RtuLbTC&pIV!k@ME35L0 za6HkWkKF8e+FRH5=?w8fyzF&T=q~=y}*@gUqKN_AFHnf&By{Vwc@ZtlRP_*~I zct7yE^UJHwf!7~~-T@2mhu-q}om;YR5gtW^f1{Y^eF>iu@F@2_zH`me<(6!JdU|FwlkIxy zOyBrMba^xjI(n+H*_|EX)ne%=AskV@A(dU&m0_(AYrVP!FRWOkInnp{=DP z2zB^sG)?#z!nD;iG?i6Rsw*m^RFzl6B1}tNLsd~pLxptIl$0qS4opc-UQ-nfDZ!_y zsjDa`3O-U)MQSrk29+Ah>PoVTD4`ydl$0?3s(>ZR%Ictns;Z)*A{N0K$`JDakgB>G zjEsLl4N@dHnp!ke;!`*(3i4-7C3Ay=UQ1? zTWe`)5d>jsI*0D*?(XMQ)m&25@}Ri>R>`BhyCs*4$}e5McBr|fpt1Sh%eN#Mp1ynh zwBy<9-lo?bZ+pIUz3!>)9Den2=xb*;`6lJm=7y;U^2J<=2}Y^7eYwPrON8IUDfB8K zr((jRlyI#ef=gdd@C)8|in-nubuSmCSBP_}37bm2>>B=$(JLQ63EN*4^>2`1w@CWc zO6)J*RowY)?8o*aH;ApbXCV>rD<>F_r}&osIYgcWuuQx5n{z4QmoLe9N_bX?dY3-= zI{BVIpdEZ))V+>;{EPo%!sU+0zRSPZ-jH-GBy8^y4*7&<0kQ9nnom*vAphyeWHuC+3!ylgU2avY>>mm7q_iv8(d=&i_3{8+HH9Dvp()kl#M!)wDe)~{Vl6U5>?3?+2zj|9s-D=U>-}>oa zWPoM>8DJC{F5Ua<-RDb$MEIMTn3@r@`^B4+p^0G3s73CFRa5 zbLPz1vu9%w^;kqDd^lK~K7BeI8JU@~vNAJgPL~(_n>kZv_G~$nV1=TroV?6zI6w;J z!;zPjLr-L8&Vs?AW>?UwpC#2{)X$zJgB51ao`qVfR8*9wHitzyIr1ZH7mF|~s;Vl( zQAQ)PWkD?v3|pjplxX?i4ei75JIoeL*T81U$pW4b-VjMx1R`YR(I*8sD5;5^=`&|Q zL7-*)Rv-x}t>DPXqf$n82KHN4ewMtVoC3a~tVFG#fOY@n!$3dOpsCsTr3LL{@xK`= zl$4YO|Ij$KNC66H0k68Wg5;z8Z*yOp{5B^U0OUA_PCeD2L7z`~QKcR+@xFDo9us(JJ2^{bCf?>@cz zIMmfO^rGkc>o?smG0wjH)ULt#o!F8$gcn@BU z^7Gr?iMreY8AJdFRwc+_kW~KuqnLM<`2NBJrR2-F_nf>(Y`ZOK ze_zb6l<=++qZj0Kk4;SSjgzlP*%lMt1tMPcgh#oUU-{#Ki8nubRMduIX zi4N+j20r;ZJo1Hx6a3!qHT@*Rv-aACw^g}?=R3Z> z4Nne-$OqCvhPm_SS#%*{>AEzHd==a`xsEigA(WNA3pOwYpD(9#rJ(G;Y- zCI*J)CZ-S+5TwTX25?Xs>FHyfg2vV~)WcW?2c}`5i;;^eF_6Jg*VloA5(crMg25Am zxQ4ni26PQ|1*8_LDza*diZoS}vTDjQDvC1{6=o?b{U$G`rlP72fgzY&g%1aY8XTWE zdU1qP2R}8YA@EBHsjhe8wTXDiAoYpBeUmw|zG@+u0lN^+>wRDi-!SCv;*mQw;4 zfFs24w3)M%6coW6KnB~LHDe|y0tb+xHbiX;1d|i|BR8k6f^N@JMzp?1aEzIon<+%@P^YV%=AfdQ%?@aE^ zV-3ys9yjJUwG=hIDu3SI@Z#h1j-HlRz0X=dzv%e#>SOPdcir{Kv;)r-5u5)aoSsM^ zB==oi82jX6KVK~5EMfaV!n2%w#-l?q!7Y3-%+GuIQrP*Xs7JYwYpF1!oH$Tnbflg? zK5_AVH^@LR>j>|95${T|Jq5O<-Q#?|?b%{tX902Gj<91M;ZZi7QJmF1@`KOUOTH#y zS1H1+7WR2ecvXN5^pH9*PX#Cj0(* zmOlBs+@61307>49-T1VGd~(agxZsYe{(oH{{egTU9C^oC$LJ*W-ud=%{`--Mw*$j1 zU%ovD8M?nd@BBoP;l;nm(D~^=^ z=Cpr<&CYfEcW$uRy~SbwCfog3f&A3|z&4w`lx?@&hs7Os`ze2ygAG#D8m*X`U4AC(*TY}@U4VEwKw_;iQeUf9+HyHLMv%g#N!5A58vZ~LD8 zoA>V9uy5aHJKI%zcjN0=6D7V52koE*INNM?_C z*t2xw+O<2kuHU_V-L7qL*6i4_di&;8+cu%ZA}ZH!+^}-(npK-Otl7SK#pd;JHf-6v zZo~RDo7b$~w0hmvb?dflShZoznoS!vY}*1`v2op!wW}7cT()4v(#305E?d85`G&P9 z*KOajVdpm3+HIRxZdy;(!&$s?`LZ>umakn6gR^w?N;oUmuU)i!@#2+BVGEWnTDZ)5 z$*N_`)~rB@4Xs$W#(KrFMa!2iS+xS|FIc*G&O9q9PoNtl*Vfg6YycSy4GqP`#SILN zt(PxO$w|IebQxqQti5%;@Lb;g3%82SoxPl0{^&a3@bvkEXD`cNcRg)>_qeOO<#|u- z^MPmWeXqMeJQ?P zmxsij3xq>~r0cD)rVfN3E$N21bDd~VqXeso@TmeB>INp>jrXYs-WBnv7hzWt+}rDJ zb#UstSY?lSHO+xFFWJRS;iWB!_n#kn@ZvN7OXHU=HO5`St!65-REqJOu&>leZ%Qa0 zJpAbEq1wR{wZn16Esq*M4e>vJ8T;qendIxR$;agOjR{f-iVP4BPa2BIE1kwh$@l8; zzX&E7$al?8jDHZ^g8lIa`6BhM34Z6`$cy2zmt*{QgCi}UzP9uZ2*~ih4rCzVfRycR z_4VRQ&gY~kd3l=SeW-v50*|=wi1Jlbb)SsCW9dbA=C_9;x936Zri+40F z=vaE#(TvdSl(4Ln$b%^%$#D@!vx0NdS(%Bx>2ZwA1UQ`Z1a?XsH#IsaGd>_KhMOG4 zON|Lgj$+4$ag!pwqXQZ7q0EFZ-`HTUD4tJD5FAv}qXN9+f<2;nuHhV*Pke}1Y!DoL z>KPe85BEoj_2IaMvfaZt-r<2h5ka69%ri8A9_;TP#BmE`dq(+tMRQTQhOl7X(ZRm4 zp)j{do=Z5_DU{a%Q z=^E*ertoz%f~M?){ovDMcvwU|+JvEo+6s0A9Cqcg;9v(G*gn`n@Y%)R&z;Be4EFa5 z;lkiMb9^0H-dNL~<73D3v*q~!k3ew0?c;dCaSP_41;;?|{Vdl5Y&Tnurw!Y~k;lLv z)H#Ud6vBo%V}H@}=pdMDIM*SFX&=a->QTZ+JE$aUf>`*jLx3Mj_%6X@jZ+}gA;8Bu z2sQY~=cjMa_5Qie&#%Ba!17$NX0?X47RW%;)`Dg*H8nLbFc1%81>?e3W+`U93FNfYuI!8ftYKp6sunNz!kzKpV;*` z@6l^$2E&8*r07kAZm=_8910lED!tPJW`AN?Q;!anIy?+r7dBpBNi9P9u z3j2ro179cl$QSI6_YP0=3EsWcJ384%-DvsW$uK@K`fXzD6PPf`C*Q^{n2PKh=YJR( zZyO_DvP=pF*tgLaJ)a*_Wa#Q8$?&p+BtvU^UGp1~4DADNI1wBzV?B^z;gZD^8O+S* z?6lqQaC4Hm*-8Ex@u-P8o)vZ|HSAPQ&>x3b z$I`tHC;1*rWgbmqQma+ptikZ(dT<#QI zCN9(`KFljN1jjk_j7yk5Cq06h5{fmk4mjf(6X+DeBoFeqAp7V5hZr6V4i?>$!(rG* zkEC$dI0SMCN~dTZ48B_e&nbrElOB#9y2b~1rG~o1ap3@8oU~{(OQ8%U3>)%FjPy>9 zc8&^ijtO>22y=`NaY>1ENsF?NVY{aUJI49Lp{ItpB=T$`8BXzBYTwbIbF4oMjk_lX zq6WL;850b{PGJYJUnof@)Sn(6;2y?>c}51pu;>xN^GpbHj}O7_*u@0cMES$tHlJA?l(tDDoQtOWA*Sw5akzf;Jx0yp zj)4Jb+tX5>cSU?kMVS>MjwQ3B9$_@S-9nOq@O&ulSw)h;w#xK)1ITc=@fkFO5UZYG zJQDLOmEL>Ly|Rzb=kNIazNq_SDehBg&tehxN@C}w_@_M>oHb)|r+7alIF-mg2=59p zRwc;raWnsDB!Ooe>aEl3I z9!d;3aWL-mk%Uu+BTi{I81Iim%;V{tlLxscvjUE1 z1|2^ba5OpiM0()y)PTcDFwVg^W_mPA9I<}s5uEJUfWrxJJQ9O^Qo|S-k>CJ;;Deyf zi1bYj10sM0dOXiRJqmCD7W@)JIBAHHPyrT#eN&>C>9Ib^k$$PsFvJ3C$TBg=J&NrW z7XXHU4~SyO58s4PtmzRS>XjG{gX5hP!AOfC8%c@wiVKA?lA~CuF@8yrFm_rjJ%;NV z$@Yp5bP8j*NBgtVBH*L+ObGE!Axm$_iNsLX=m5%hPYi}RL~&61W<+@;hkB<)Fb>9e zBm{aT1-r#^U7|VIBP_bc_@neo4)sk6f%zl`dnW{;amvso^o@-7*kJS-e5QKtlMwD5 z9|k=`$s0-nLEE4nUqOI_2`&j_uww^NNp%?QGtk?(Abb~l>KPm2of3)2MZ6<;VQ@SW z!>AfWF=eO+4G`K0YW%k70QI|}W0X(!CnXg71@lf0fl&@Bu>w}3$A#ed!J7Dyu`hNZ zEE@-VZGAn83`i*S_4Q3mOe7>E)Mi>O}LgQ@#=V$ z{N~U7Z9`wh9R8N_uOmDPK>%ULBE`7+AwK_3%X1;uJi@C~!mFB`XRxm^KiP!QHna8# zVRM<(44hg~zY@vacf4x=68@HBcL|qALYxL^-eXZlBLu+V7vH+y_DDD?>`c@ zX9(X)G4=ytRui}(%zQ|2N+kjt2>;sYF@Av_idv8+gKm4Ff`Wt zW1|1x$?&x4A^Eho3BKUXoc#i+&<-+;k3%`UALsXsPJV#>nCJo=M#eiJA-)W?e){sf z_w#=v!wUf!atqIc42%#4$e?3nFyDHS)x5bzCWhAY7dW^$L&^gF++%5Br?M0NJeGXs zSU_4_?5UiTb0<^JpGrD^GVElQe@-$~MC7sTm@~)1Pv&rsWdxqc3OjKq^mta(@k6Yf zgn;9z++!)+BT1ly|DgnSRvd|lv`EA_HzPXqa1x@Piua)G_|V@|1CJzv4^R#${j+0% z2=D=)vQok+&4Bgcd&dRhTR3!aNMlsOQH`S=zF&F_`W$p94sh^G3I;>)UEaZXXch2* zmm0@OjPg&4M(Gz5%8Uz#gOV8&2CdGD4G&IB2uV-$Pl!Sd78x;te$hPt#4zs&e|CIG zNJcCcx#Vbw?S^?UMn*IzJKhV?ksRV47r;)B3_g^=Obqdf`50#WZ$i zJgA687$Y`}n;HwOQEY_c7Z-;8@{ZzqgtM_-WOF`If!Jkkat!LBNq!m>7nBKQScDAo zON2thj~tB>LIsPg#7N4R2@zvwMfg7k7Ztec;ia(Wsm_(xS0Rf<-&`3 z#g~g~Zqzs4Eh)QDT7ISNeSQ0fhS%K<9UZOxA72bjzQ6mnU20Pjd0x`JNYb^Iyu|20 z9FktBs~B5Ga=XOgm)=414#zQTFXD4fefit zwdA|%7_}mS4IqQ~_8VT+pZSygjfe9Ir$+>{9`yvXNp#=s{O|m+-VrIscrmw1BDz|d z*Gl+RV-q!B#@RStCWS5c4P@e9ES=pNi0n7aV!iNxs^;6@me97@iy$B_EhSI5PQV zg#US*Kk$*T9Ra#>=r!mt&5y$n0P-1I81h-1QWiGwSS-J82s_}^S76M zgRLLFGh>D7lRKcqXWo;FwT0!g!&~#2j`@-(-JX!&;H*dB!n(68!P| zV;$haKRwPTA)1*I?~@Qo3aaFAk614L7M#p*kP1f016D>HU=?sE84mIw5Js>Uq+r7z z+9izX7Qx=@sl8A*rOMzI5e^b64(Oy8Ym4MO{HdQ&Cw(ZdKLguJ@IlT`ixxUw-@A`|RtmRbUpeJ5J2~ytHHP zEczT%~&d-zNLup_0C)@B}U1o{cj46TXQYHtLC4AXC$bPtNE~pGv(w@^=?QL;K@j;!Ubu(iD0z&MQu|mFU!me1 z5P%@Z*Aa=>1^9@1Y7w8J1Pu_gF!+d2RO0LS7D9<41B@Do5jco?#18hIf&+T%62St# zKne5~3I{Re9T!ZYiH<}7P!jY6tpRS>1PL4g7RWtH5BE(C#qLwP<`WmeNR0N5jr54- zBJlB3g9cDs@FBMl?-U9Eil1blWSDmXi<5z*gX5Dx)-#hyIx&;*LnShjW9ZQ#$WYJ$ zbQpXF9FR4@kSu@@v}V=6OR0F1QQC5$;b|X#^nOdt(W08P;*QjY zt`p5&hwhg)^}qkZ|8}9WF!@GFMqy_}d1rQA+lhx&J>;{aCyw8}8+87b=b!lpjy?#v z)RvRq($4Q|`PP#Sj`vMYP-iXQPfT=-k9Yng z11T8(MTY*N7a&8|=cfNbh9|EoK!&&dt?Y2N26-{8-ohnI%`L3VEiDY^nA*}^oVg5- z=pbl@kP`>FImv-X(*S{x!)cMflNtb#4g(xe12QE4eF`O|6QWM!z()xmgR%e@8G+f! zK{-jGM^iCy2WG|La3zmepdb}TH97htFxknWfCI2VO(Ejg0}!|eqsb8}BN9G<0P%nt z7%w{(BRhxnGa8FPkiep-SVqRpNsnV8xkyj&j16;)VB+h5 z3q#Qqe=G zCUlkj5d;$s|MW98jFe3AJm@8m&^3mG*ykRKB_V?xBr}#$BWRf-0|W#1n%sqi5ON0- z!V%7X2~pHE3!)xj?iI_UP(aZDhD9ntLk)r#<{i()rm-lPmI+4-9#LVw@zMC9psze) zNR1@G5!qqGm z=Xf$Xw~Q}%Hht5`r{}{1-@fx(UiK^6XJnUEk?*CO`1Y{nMqkGZa+2X+WFSvZkb;3E z!{~VD|4N2WUt9iHGF&bC3z~ru!cf)K)Hc+&UbfuQY97d7HrLY0$HSe+a*yO8nGHLc z1?51Vp*VGjmy;6q`@x8l*@1^sLyu?1|8*RG*zeiN7fwf?J{oZ{C-`V4%Ag||Fkk^G z21+o5o0-7NP6#=Y0y2ajO~J^8gO-W~s0F}*JQ~0tbiy7N~efI{{ho5D63qP*2YCC4^99fMF3WkorF@ znw0`&9^?_t6O5sV9Z32Jsy}2tl@$w!q37r%iHlf_+5vt^fl%y>ROCtlerX|INj$)Z zA{82^Gy|m~*qO0DNM|U00(Oy-BLd+WkQu`}7>knnwW&M;aZ0h`Cl-)spcI_S38Kyv zdkchzZ+xUrT$Eoz41Or61!{8?6)B@gi2hK##r_Hu#Xqwa=mW^$8H3~~5(WWtfZ+k1 zKxqbK6UZ!R+FGK7kfx6Ak`>FN5+gx|8%0+x7o0zv_s5yM)5s<+f zy*Z70M=|iOEcc#9M1@@7gBkvJlp-F;M-;$hqHj(Qxv3Uip&t22GqPGMrff#QB~h;< zO5Ue`b2vu}exMb9la_ePApMbAREc`r4YS0%Fw=xPKaHv}O20oZxzH;3hIRC9>x_Hm z>9-eTlq^rHT9Q&`l~t?ZbNIru*6;jJ{NWG$3I2wZlZ($Z0TXZgUeV7ViG5NK^60kT zg^O?7`fs%H^#jAozk>#&HBWLI+FSku8Gr?pBo;>7ev+Yg;Q9Ohr$5Qi(q8kdz4r0T z^6Mq%UiCin@b^&ARDot#x^mUrc?*zD7|$_t@NjbrV0uOd`e!8~kBB;Rn1TR+5OOR7 z4iEt{#GE+-Km5d@#B--W1~|N&w8&G3Nh}=Aj5&1#*@R%`;h%X1z=13e#`>p6ktH~A zFot(H0ZBc^YjTo7Fk-@ZSuqp}fCUUs$RmDEGJp~&1EDdHiKK=HAk6KLDD1x3!!MA$`mM_AW#B9fWyC^0)`1r?2@N|1tWVHH4jNz zTm%fV0ek?3zyWH%s1ydGi#*ejir+sHYy=aq0>DM(T+}&Ms3R&Tq6CR!u&+JG$9S%V znv$}PCQVgS1Ns4)K}<+kRg-4DY-xC07|4)UoO|isIgsIx>!&WoSQ0rG$GE;aV-c`?9#>1H!(HuqzjFEG2C2 z6E=l}bCslP*{|-U;^b`$C4@&4L2n`)E690Zsz9qI^|O7h+c+q;BQ=`Rjd zA~t1&{UgGuL149nV+mn@k317$S3=m7;OnCF8etdm>M1d|N{J13h?Vz6_dF){*AO-} zQf{xMoSsdiKOtOd|1sxBgkv?~P(|2byXE9X5%v|rd#i=_mJ@al2-{nP-Tf&})slPa zi0$`HIYkwHll}bOp8mI$1AKy+aO-{dB!A%Mvx>aVUj7eKIUHZNivpd^ePF;zn4{ood z$e?4Ozt_>uiRle81RP9^`XdJr00AQYI0WT@v?BO;1}8fa4vcpsHT3uFh*O6{j%NiP z$>3xq!w)`o5G6I?fD&XtHh~eA8f1Y53}jFa2n|FWb@c+^K#_rw90Kzf%rF289wInWH$kx!kipps}D@T6K6$OFOIx-cr%5Wg_s1^S$td7#F69L(ey zzz8-B(Scr}5kv}N2jD{YDD6R+KyQQrGQa{5gheW%DOLzpCZ`3+NzP1AC4w5k$v6@S zc$C-#HTy>e3mOL&041u!XcxjyyEat06oUvD=CPR6^)buL5FTrTY*tSHmM)t zAs8Z|?U7<2Hn9nEbMZV%D!~7#7Er^@h{mr(r4m$^;J`o(R!TGi8DK#~Q{n+k!=Nun z;>Zkw(g_e+J_(WD@eyc*n$>iVkko)R6=l$gK8=WTd@!HwqtvP49fBf+8X3N z;Lr>PMux~Hl+`t$8UCFN=WhRb>e|Wkd4F9myo!Y4#)E66Pm0PLOUfFGpS-U9@TH+| zptfhQCH2NVp-u7RLl3;Fg}sX<-3mqAi^P0u2(Kzs3VBu#^fGBygM`mRG0%sh9_6Cm zbwa*Rh5Q;sne`HW6~cZur5J@$er2MJDuPie5=`EdEzK#G@FbTI>N6? zjQvoYnNN6>h_fq%m<0qgUzl@`@GBsEOC{KKQ|Sdl4mXJd*9nJwVfP|Yj}jO*N^q)$ z{A*ys+(#&}NU*C2Rwco#5MtB_`_&U36@*s_!609gE$LrH@EQq^^9heXldq?pY~xS# zJ$m&;GyHt-aQ_JZ?eobF_dm~`e){ImXWgv3k765Z$N6Jn`43nZOGo(p$8Gtq+uHxH z8OWbxAO*v}$j~>~N?y$H-^tM2_6THvW739L2T>DrG!smK!QmcC1ry+hK|f@rz(S8? zMjStggd!*>2?os&m=%X`4nCBC5JOxbsb^(I1?0q2*#zPOnt?4yA^-s#mLvoOQ;wXB zNNVoOOOS)(IQ%&XQY9sfDPch61#l=mK+T&`ji82_kfbsTYGB3Di@>2SoS>S*h5!>v zuHajO{3RSY4!A_=8MFrV0(MbihMHKQlmnGkP?3w)$mv$WEZ@(OnvA0~d@Gz1PUtEn zfzWwGB?3y2Zjcir5Cg1?7^eupFap0G+C)8uZ-9a_@5C5(W}TYp;QCV~OleUJ&7mvOSJ{#q?pD4U9y(vh->AIx*T^XNS z(yT{>J9)P?;ZscbmXL3#cP|ohD<-_|5$=TqvtEdW&?^)6EFmv&Vw4k{8p5Yq%I~Qd z`3CAHg4;qc8^nC-3HN7W>}G;hO|WWELwGd^`8*-$rG)2WY2FLz;8xLqMiK5)QSNgw z?kn+tHp#$dvcdhigiW5bN2!E&nHZyf3h%9mS1nkC1||HSNHQ9vn2pk`r!WbRCUNg4 z;=XmFevc)X&m~zcaL7A5Y_F_4mj8NmnE$z`+uFa{6W6E=YJ9yj& z-+g|;3S+72l6Tb3w_Z%0n=m#t*=KKS@9W_i&f{h#grCTQW=K4H91w{9^9VO58E}AF z02ZJef{vww29OG%LHNlWz#;OFBUnUb$l(lF^vT0j->@2N({=5$I%El z;9w(X3sb`&7@!>3$)UWAC~jsHH3!GwX1qAAxCUtrOK|x6cV28RkgVONm8#U8{k~%R#p$ut0B8!t2 z%Sw$wzC(`-VW!7AM+Q)WggU(fGC=UautmqPK)?aY!8y#I)D;4>`X-VWgHgjeC8(&m z1raq-kYr@F$$T0ze>EB?#q(Gkp|A2$g32b^S8~Ye?BoT^*ys9cO$}<+KCXNy$ zD6ud2TTtggerg8yNOT~Sn-NR0I+_d8I{5pVEtspKph%sYpfm%r37W3nhAo?8Q(~xd z6ClIog7c?u{Bf<|%DKG1F5W(WyX@A3+M=Sz56hY=t6tQ;dfC>~*}~_4x?EXLEb=GT zo+b9)A-0_-cHJcQTqpKlA?z**Ib9dBy(YRhcRIBC{`17X8wBzT+d`>*xxd+56h3f? zaJWTy-XLr*O4wW#-Fr>kr9jB_9$|Z3+Wv-+?L#5QTS6}92)Dlprz;}%x5e#liR`~9 zzF<`E9XQ+!Ls(dNqEh1Z-B+kTnYdylZGBOLD&4h2X< z{xSHdal9|N=YfRHZDQY_gbjJG2l;|*TjUp)h#gl>_VB;)NBCcQ`C|hkV_nT7qci=F zUHb6;`wxC?&rsgWm&hjmZ2n|hP)VMZ_|9LPbJ6qOOa91EQ*l~DM~C2cs=&ocj{QGI;xz1{cCN^%TnfNCvMx!4o2t&Wnl)6c*!S}Cwot7N(45e09N#J14|$*}JVYNy z8)BMHq)thJ3DIc~HPXnG1nizzFM-;4Y=V(yeSC(QH(9_7K9`dyNDXCZ02hMH$U%xk z!iFv;Sre?4@EwxBQS6??b5c~1CV7B1oLrH~iJ?aICPPf9DLTv~+oV&m<59p4=VThg zk_<2~0m0tYap_c+0`wp#qe$+UtCK}aM3OAbC<#xL;@hKtHO33Euf=c0XQafYnS<1_ z$RsUgLzz@Tni%|is3A5iF$T930boo@h=KqCw*eo3%1BZ9ypAl9C>mmv`69FI4rMO{ z&Vl^6VK53nN0><;lBkVJO@eJyCu~s0E_&**Ne@hzJ&D?5O_(xi#+=!|`OR-8O`iVZ ztFLHN4LiTvwd3%PZQpL&`SrG4-|XD|{hobC_kaH57vG)w7M$Vq^;1_WF5RrV(O7@3 zvH5EEU{iHR{a2^Yka=vcUu^Vf?Jiqp<3mXq#-FW!=+OICH z`uzO5uPRpTyRqWn%})-LzEyJejV)*1+IsG#{GW2aINarNS={|rSF6q4hLdLNNaD7= zAMg6&j@MfY`BYH_wb1UhCGX#Ry0Q72Chy!evRa21XmI+}!80vo|DVWUcQ;v`4L)S( z8?NfG+-dDCYwRqoYPnL~dbP6k`pvovU;T8Ts-xU5d?S!y#=Ln?J@-72fnpO2m#p&h z12P2Z6><4#(xObz0PVH{)Bcjgy_>-kBzbAZU7HQtighK0FhxN+pa7BqrUDd*Y$%Wf zd3LfqFBNzK=>d)*$x8t;BxISRQz5j~;I1rtDTtY_##Y7W019vh&T7E&1e;~QI&olQ zJdgn_H&7P^#9(^QxeCHVsNyUj3bhkr$oV4a@nq;?Y@=9Z;0z{ZQ3}9;9_I8UJirl& z@mNRni`hxAurx>vd{%6HmPV4T1&0ABVF_bNVmFjzCXc!I*_(mgIZegMS`ZiD7y-Ii zrr8h$Sb8BkDf>ckWQRtmSaBix_}C1EG&@O>nHrq}dH}g3$M+Cw9E*$yIzgFEktsTC z)3|f|?ZQ8x;-DmiJYkeMfkUN4fy5Vuza_4%8&(S@55AWLd z{oY-NcQY~^{`I>P7mouOE|*`tUQJbj)$OI7qfG;z-X2%~u-7^0^|n|oqodSO#p885 zy)K*EZudHERQ_yrPzmCHV|HqE;$gFSTx_`LcDiVA1Dj*q>@F7#ye1Q!R{!#!-8*9U z*nHEj?OyM&%hP8a6}igeS6yS1ust@q=&*X+;*G4hQ3t)-sNK`*a1K)&$8Ni;-Qn)Q z30~F?4o!$Fh&ghjO?;?xpv&nTYIWLv?(CQwotSy#;wX^8HFV?j*OiU8{|96MEZmI@ zfQ7-)>c5Iw`eGAboZMI2U9~YX;J(QZ&swm6qLz;>dt}+8^XAN7v1ZNMh)_Red{nks zR-6TypeW9cD@xPsE>x6c11p5TFX`PEUn0!}k|3x!aSYn{k~G-hVpHT;4z#$^jFB0H z43u49mg0i|3%Cv3;drP~7nhOfBj+qt*iW*_Bm*)qhv9$4J;$Z$A`%rG;~)>7VDjV@ zfsjv>g`4B?o*@R!CLMiTY-R$O5;%h-+sx$~oGCHxr6k9!@FnU%T_~N25~wKggUT7i z3KdyZ#AhN+3DL<4!4_mfC@DFV3339Hq$lG4LYN_e?oA*=EbcH_5tpXHOWYey7#TSE znf<7~%uf!L2I?gorM)||i^&74p1Z@i8)h>;p1umPet8GKK zMuscg&L+_+p+l5KBe~EW?ESB#uZXbN!ic5>k;V*?paa@q;mCyQ zq1-|dumE8Q72bwu%Bj|jS9YkNCc=$!&WoTWBghiD7R_p`N(gxhUmCSotziD~*%Xn9 zFd1S}O_63J#SN20hLSNB7y^PJ^o0h0mk>dqKpabz2SXGjDk$klFb7V7255vB6F7Z> za}h;$0muwd1!4a zn_yHPIDYV}U%%RSeBZ8bw(tIS7dXS7BYO{>{G8Rquh)J7GC)vVthrd)bfcpEW?k1! zJli9-YNxBl<*aGwxzNykv1_Ev?!{W5DUbusE~|I2*W3PA*5he|b-CNSJsmyXP8d#L zz22@qZ+8!!boP5X2fST_-tHl9&#?Y4Nk2gOBkz0c(xvU>-dH0WW} z;pumJd)(emCs4&&JM3t%ds{t}QPl$+aCxcB!QmYo@$}lf1Ebyni>rIUjd$zqb9Q!H z8wc&}G=p-q(>l`OaP{G3pQB~iIb3CR8c&u!Z~XkBb-4>eNM@j!0B|@KzVH5 zp&RwTmRFwozd;734M2v0;Yy#HXy~|6*?jpgIgls!)pS*Ei0}t8u$mw#v2@9jMN2VZ%)bGQ^2gD2n&V3(UM{;VF&FOaYrE1wlkt24s-rYGN`K;J2hH zk`SFlG=X~HGLp+{y9PyB2z3}o8DnriT zAOe?q5k1keYZP}2&JdfT<$Og(241AN7@wvOO@i)F0tyHOO!yffKmvdwSWi1UC;=56 z5J8es#?~yRzz=l?8Rp%Kll*T#N>&Srp}%TWPq9gXL#m?=jDkiAOkqV-eY@r ze6@AQSK9##;0(C<&Cg#SJAc%N40q~E>)R`uyK7o|Xv}4=rNJ`VH0o^kU@PpuZRxq= z#tu#$6bG;gd!3;612Ct%pA9RHZPeapa}L;D78p+W+~FP^wRKtT-F8Qh!`bU}^?6+V zUiScue;se-@mgRa9TGO=a#3ES$8C4pZ62G$>u`{caY0R3Y{MNRmR7gDj}jqWLmmh2 zYRKts2cN;wNexxn?4EXqx5MTJbLeycQd~VQ+@!PDYQx2L;K`7;dDPoCI_hz{z1=oS zj_h^z0ByV@t+-XE*M{TO(xW$PfBe5h2G9mZhQFmIzWeoy%Jw_!!!|%oOq(<3@u!~w zGAvxY2%KT%x^+Izpx?b&x1&h6y%4KgwKd1Ey9oRtJ~tIxv$8NJZT~Lywp^@zX<-@+ zKfo`^>zHQ5Vh3ly6}$viDDu+)7kETt(sV!u*2UzkOIO3B+2)uu?8S*(H|_Iv3qcLq zpvM!Ar38)v=0K>DESC^-knEboxnr_2f~N(`i>9-c_i?0Odi<(Nm*o2 zSSGLqDgFvz7l=)4iayGufqZ~5XmFt@)ry+rKp{a#QPtFnwU0a>h!s@Cr_XDo8SCq=B#-yzWRzLMGrOc#V=m~8NeBK zeX|p=u^xoy^pk*k`;cCOGWE-`&Ssm>*X9o-?zL$NTmOh~-EL42aKJ4un@pi(X z0^Hs%m{1ViUQf3e64zt*fD%}ofDWfctWClMf+laj)6+d{ZLv8;KSm-IgE0KO&E0AB zcCn4pv73&LR+qQO;RfZvyY-LQ=w=`{1_rArf!fv6+f_c|?eF$<*`2-Kpi?Eioa3PyiZM>xb%H_>+Q86>nBW~ z0%YKM6AKnCT)c3}ho64x7ahTzLB54jn3S7y%)2-1x8_lmWf2uwr|j5jC@#08Hp=}qvJEk+_ib{k6<=BXcv?pr;R-oTOIvMzUSduC-2|KlBqdIhxUK*aHk2LEk zN@$KDf@Cs8nPp+dI2hJ1Z|jnDLqb+ksAz5jKmam~-5ZsNk_ZAUz`z;U=P(vWFd5>~ zO%W!YD1KB18zlaksL&*-JV%d@z?%aH09?3}xKu-2YGSZ1j`anO_)whS3jRQVCy)V9 zAiSV@K!nI=pj-=>4;eT%0T77GGz-qAXVnvusKyCwwIuw}=bygk5B~?8Vb+Y9a~CfJ zXZYRkeg|ZD_02a78A$+v11AscIl5=hcRTlezn75#aPjRg-*9Z=!tHaH%P-z(yj9k4 zv$ExOZAW=SPet2c4S0ButzpnxKkRKBbk}wc-|iZ^J?gIGnEnVY?T%q@`w+Pcu-IE+ z7EjB7yVK$!y?`Um`&}J;g}n{z24t@S1H$OI*@W50ZN%Gc_x6u?Xt4t*EW_pPooDQh zn_fp7nYGz7)aV^<^4dGS&Tcw7L}N(%u-85WbK3@8RtpS7#pSiYhMk>5jt;xG584EL z05rof++y=~H@WLNy!~~;GdT$M;q4frmh!|;N-z9SS9j+BFEZSn9Sy=DT0vebtv&y1 z>B&1Sw}1=}&zuHiSoY*o%N~D>n))tX_VH(*ZH$fDppvi$GA=ijvYi17CAsG9h05G? zMOJFk<|18wt|li7lz=iJOS1L5h*#ho_+Z*!qA$*74Eh(Ie=P&OK{gF0T((h0^-~TUoA7F1g8hf3k}c?5D`EIs)V9` zEQwL6N#y6Jk|-qzL#UZ*Hc1*|2T#?IL%^&HHtPe7YCkRYc_YmNae=*<>V~Mw8*31- z5RwufVU)!tt6%}DD1d`B+sMU?xS250IPsi86l{<|&MR}10X|%&0rC@{nIy?F#U$%O z^>S7dQOSuhsfp2PfUG#Eq!5E9Hcb*~j*S4LNKOF$VDVz*#-}Cu8)E$paekWk0D~e- zC7>X zX<_(V@NrBSpp|e8(Z}No7y>VFW)9TKFFgq-ObmQ#f&)V&F;d0~w_`Js!_5Yqc_@{g4O`QSGFlE+^hbB+@?QefOea0LhgE7+t93aAQWY^xqyY?U1=R=0? zPJMgw(up%Seg!gsHe9H^cDeTIo%Y-H{bluirL6-udPZ;cjFwtP+H9^~4O>a)80?cnyF z0hrr6$ZrKBRseI6kw);0dhG*VY~}9O?wS*I*LQmdejXUE^@u_)?E9ACdWV~;xZLDt z=ycMY`c9iLtG9F1+W~X9hb`7lyVo-0?HrQhd;OB8B#xV@xSfN6GDqsv=6YAf}6>qkb*U9JYFv(f3S zx7n*kM(=c4Znkz`Z|S<$*mb?S`Eq6Br91VPZd9JRSaqSUr*?hN`iCb?oIZW#^UuFH zf8o5ji>O;HwOS3{xJDWw!4lq*B^NqEzAY!dI2}jb-eT3xe4NNivf_$JAV@QkVCtew zK!>U*Q(c^8++L8hBj2z!2RNYJoQ><+;#_%dI+(K}KSfiV0os7w76#4`pQXb?%L-SL zg(q3PNuPif9iNp92|y2?Nf~2OM<*&_QmXJZijpFZS`ta%Y)MX5j7pcrW+}sxuE0_jCB8a_F9iWy4>mfZfkP_JA@W4}1wpz-2T%b_~DG^SNO+p^ZQ_9eQ z-UMjk#ybxXeDMkH8SeuDF3Bt&vRZ_?MCiWA5gy7AIq5eqf(z@0QtRvLs2!S3)-)}Pf{J2Fx|GE^lvKTmTTA-4b+J4Cmq z4olVU>hu}Q3fPFdW7IRo_Hn}J*s@*ZMf{6FDX>vfR`;;z%i7~|)^~TDZEyW)bmZdj zV6*7X+T(V&_V<@lEdw=lwKzS!FsKH*s~d(BzGA~mH|~wPzdDI9^x`Eh@;%_L*nGD{ zlWhTa-WIRNezWXoP0ek$(*wlA-_CK6k)#sPZ90 zL+7>1#)}mV7t0$i->g3O{kg-p8gH)gUo&y?q-oP;Jp1hPiH#`}b34&UyLG*HtMxMut7d_wD>{$L{a!0$K|H7Z*SMIwZ<)mO<3*CR!6nl17Xz+ zwqUpM5Q>h0f!jU3*SZI9we{QpGSqimt!TJVUVovY@k&|U#iJL0C~v*9E^r+HeCEvA z;0#M2U9#k{r2z9)fg9Gvg!!xEp#m5g$f-z~4C#2O0!nPlRc_6}5f`Bvw1t_f!VG8! z{nk9N1;e&{&DLD)j$CC)rfO>z=TXPzq(}=Wl^Q!fk=s-)mkCqqV>Z!-gd9zLhJts` zSW)A^<;fH)PgX}`?-R%nl}L+`;tq*eRvHeX;kgx?orZjmsRRpLyUhiXyt@HjxGtC) zlBDRc(880nI7+fpm?~raGIIb{;0X^fNV#PSCnPX3U=?FMa^qQUc#9(^Zb4s@WT4Cn zD&4{f6>8I#*PJ!NBDYG0UZ3bF@CDZ)v=+ZChmV=*0kx9rc4Gk1v32p zAO8tz;<;B|QY5K&AK424>Od90WFSFtc;}&G2lpJ=%b89;oIUc>l^@y9aHZl>Y5g@H zGBk8oHTPG8Fmw$ybPd<^jn)slTAkib+E2ah4oB1IXkGt6sl(AAzyU&oq7niedU-wm zSA6(e$Z+@1^NMEjAY6+Ux3fo3f?kiS-C=9=I$B)LPLaUS<#sn)Eal_*41KvSahy*M`1{bxd=ykdK1wM2@Q+Vjp zdk2Ra1(E2nI_hg1PJ=T589*EEMg|CqpKttB(N?}Et>nNYQM`H0~-$++C;# zso0zrS71)ql8zsi6sF0Fvy@x&q(zw`FWP{GAj_fZDmKs8B7HNzH*pzImL-W+cX>8!0(Fg~}c|5E*8a25MvPZu{n|7TIK^n5=jc zz4$UY8&gK93!-Z-?tz5^wVzEh_*}k>7@Yb@@e5#Sx(*hVp~sPW=Ne@oU~A&So_P6r zs0kngI0GZYzx>1RfDA9Z_KG@L2OKygkYV@tAI zsJjYesB9~%?zmIeS<%o_(LPYyJ>1x5ZGsKhnnxVq1JK%?FmU+6p<9;0TQ*yr*s}ZW z&R(0N*W(?!d%wOLA8c-#^Wp#$c)K}{fD@;;#|It%1sVFpGVG=a)TAkB)U2Qoy#kI1 z83qR{M6%NuGTi;^f4Pmj(cmtWuzAM@(2Tgc?l$rMLs$cs(v$862*` zMbU5`C}F8@yl5G!6E7{6!4}J4Q)BbB-v07Ydz}v%peCxCEY6?Xep&C$x|x!*d2&^W{je?l(GsllgO87)<-29L;zRA zSqeB}<#NM>v2d+e#k77!4`*7of-m8=V?_ETK#UzDV=c8svj}=A5;(>08boA4Eq45| z_S8%j7<2f9r36KI1t@Xw0?5E|Uf`_V?d{mGbP7;_06vIOugQiP_#L7b4g}` zGW6GTUkzDndWXx}drKJ^*aOLah9l>{uWYYawP6*jiKm`=h6YqUvS`kt1)pwQ=NA_d zsFlX#m}2vi!4o+80B~Sr;EV-a1Y)Q+r)f(vG+WZ;#VIglNjgkcl$=nUCM!yX5%It; zZpn?yO$|>o0!buUiFj5aC16zlo2ixN7{ZfcBhB%VDGAYOiWpJDh{Yw#ONz;$#A#54 zaHs&IBAR-pQL!O!k^HRsqy&};d(S9;V62&mit`?^95^SC*QRfw(eM|86wf(~s~5Rw z2v0a~e=!+a%CyYT@g@#7&P@+^tL2_W0ym7}MUH76kX z7A9=0(Nn56HaRKEMCDgNA!w@@YVb&5!9YzyuwF?DNVJf}FEV4{RxNj{61+3?4)>a- zuKOajfb&D3;JAz3Uz*X4_qi1!NPf4P5Orz^{5|_5n=kR{FHP;l6#!$Pj*=g^Q+<$0 z8H}%beD=gfgDgN9_tdK|0vW&=rq85dxHD$V_}%~dJ0QdBZ@-n2m-gk!FAkmn8c=rh z_RqKOIkFq)U;Xmsku%?&ymajB%~KceoQ3(20czrI&d@pB+%?hyWU$!UtWH|vShk|I za+k|RYqQUCd&E}jVV{G?@>h<;zp(nd*8bhh|8J=YUfLe|tZuubLx_mpaaiaQrO=EF zZK9zHezB90!S4D?Y?Q9tg$8${1W%vw8D3gFePs3)r?a1Mz&U#6{K1Nf8(yz-aERQG zHb;{H4Qp`lnwHjEc?GFDy}V>=VR^-kBS*go315A!^rYS0VzE_r^xbUkyb3h|&QR5K z8OTstb@uSt@1Q0=UH9q4$&DrwIx}61* zf>hPk9A*pTkldO>by5YXhV9wpt2lH@r?UmF&Fp0Qnu76+OLgFHkX4`hg=O;#iPih7uE zM5wtNgXk_I7lnRg6dDlgnrbK0)$D-;$c%MnHtVBPj5u;}kgsCMS0;d+ZWAuj@*(d5 zl`)E9PZ;EnqQE4}HTqnL(P`|a&@u`|r3pl$?UgbNc_vp(vWC-=!?0$xk}#8Otmr9` zs-O6M$PzU`21<%ZmBUyZ0luhNYbuyk5~qZTIw>t@G4T<98e15V% zlmDo!#MoSOTwXFxxHrDPA{NMS|GoFknK2W{FmwJ~Aj3cZ{XYU3UVZ&FQ+DzgG92A^ z;K-icU+>&YjpYx0d-|KB=f3~>>dEuBPhToO2lF9AZC6D@cU4ng6_BBGu)ck;3E}fZTQ1&X0!Up5BpNnj2^Gu?Xf#uqfO1#uf6e4_kXaoMg;|Em5selFb)(jXhL9m4sc}W_Uzq*KOt|98>d8yK@6g=3< z{7h+f64Zfsu+^+{*-J0WGQe0AMw@WG1O|Qy@n8N#>-cQ0nun z6dp@MY$jL))UHXC_$fqBcmpUgu560*#c=b0k1AI%cs%~PV+$Y*#gbBFjBfHRgq*- z9|@2pz&>CwG)coe0Y^5F2Oe<+HSzRoFHLyh!FjW0Pn$7)`rO%26aV&4|2$*b?B`#6 zL6>S^WY~XX50K%|k^P5G9t2_d?##D8oIU*G<>MF1&Rng!c&+-<-N?|`Q{CKOJ%$X# z8Cv^B+xu+SO6t*y0gr_193R`d>!;t%Y1c{&e#o>s6W=Jw5UE)W$756-^6 zmeQNQScYnctreYpH(EL`0~{)w&fl&(d$aCbY3@;)9fz+o&Z(w4pcf(m?6tFDRWa5S;+}`iSqm;WuY1C3kz+$rjkmM zMXO;gt6)6aFf3%I4gMMlwkmSz3lBe)RHwv>N=$8hMrxEfCCq4yOHYP=_gBYr$pHKC zIeAh{d{AYN z$@0wXAsKHSPa`u#3tY)Y2pKoG!ZO1Gf^-Q9oKM)90uws&1p`Y zrY=~AhW{Vogn%MZ9iUBEuZUY774+n*FHU~w;aL->%$_-G#+=z;4*&3Xe?Mo@q8DC! z#h8)!<*@@_LOz^4c<_fkhmP$({LB7te%$l@sRKV>{{GC3<7Z2MyjXVXM$LsgjW;Tq zZ&m{tI?C(2Dw}$1+AIwnLrvX7E&bMx0b6J9Xe%)XXUB-A8|L)(*xa4MRoIJte0Z>S zWT=h?O>@SwbI?Ui;)iK$G!1=g_R;&hjflax!-0{32f=`o3_9ze`fZ-UQ3rmkZD6Df zC^TYghpZSKX>`!|>8AeS+W-xlr^V`OWgT(1ngFnj*qUALe#;Q>S(t+(b$TqJo^R5E z4EdHE>6Yx6qVzZs>x9+-uTX8Fz6}YvDcV9Bl}jZOIR;fxGH=1uvn3tsRf-*uYb|*z zWF<}^012G2%u_^`urUIt7*tv^kDk#)v-?$iTi4&L`w#01 zg3S~iRfZ<1Lz8up>4^Y}AbkSffx`#vgd}hnZ<_7vi5F#7NHTOl08Wu&^})U0+0ns$ zAbrsmc4hq4&^l^3Df-EilQu<1jvaBszJ{5cxgq{8H3uw!5B?h2ikM&^!=wohF*3}W zH+R;Y+5h`@|1@vOl9%6n-IQ(q=H%z!{Pg*^KY#JX@%>+%IQaF+-Cv#91$_AB%8~Q8 ze!Ot|m#dX$Z#P^iYq(zCR9e+~yQZCD6QB*P1CSDpU4zZNBW(Z&zyc$~u)7Opb#>58 z`7!O^95idPec0Lr9I!dtaI_59!>kVOSWnd!ZcpFv zNVB-HUc0jm=5Y6LS`?56L}x8TXKL5iu^R~=4?U+(DDqOBHtXJ zspme@%7SEdQ7ZfBIBdZV0rtI%r%p{h)swZnU5=&Vkhs=_7&O%FBuP)neyPOC85TrW zbET+QG>*-85INO+@c;JS~$v=)TJbjOw z#c@X$QRJAw%~F6ZKm&3HYT`JLf)GO>L$F>c)Q3haBC4w3Tup9(z~vrXzbwM9YSGlBrQ7w_ zKpQGsZZR@6bXT?X*AZ}m%%;MZxqH90f8N34x@CzWp#C7@fPoXNjJ z1|lu4LCRH<7XZa_YP5r6;}K z&cTs8J(gQQhK9CFK!%E@i+7qXU90*P$NKvHfDCgNE`XZ& zEHr@CgtRDKx+OENC{0qBX4q4#-j+L7dRdgKDJfJJ=K&MO2!m)Dt=(Rz+?toLIa^Vj z2^}Fu)lherguGPEW(bfJMgx#}j;CW~;fg%Zq{zoVCGq15+8`VP!W|)+x{rAS#&Zuy z2q)?Q2tisUq&N4Di%15Qjpaeo90&Am++s=;wPECd0#9=b(8OTX0CTuV6LLE~JMk}# z97XCf^>7veN)ck9(q>>cplcahOtMGROrVpiYdO+(-r5qHIKxWq{h^F`eRr#`?OCm0c(6pir2N@Vnsz5Jbrm zW8MQbLoT68vWDED;~g8=__J8=Fms^nDZLcGg%i944gef@h#tTJ=YWL`S_#hx7Rg;| z<_u#4fN08(!I%F)nGcD|^@^Bv^5~~tdx<8|OrADt#!RRQaE9Og!#~bmxDaY0Da*v1 z;p-m{K}{U^VejEz4t{^?(24WkoVjrluyCpD%;h_0uT@@PWMIw!H381R$k5*3z_bCd zK&}Qmfdh$~0Gf6HpCaCs`byU$y#w_MJe(UEfI3^+nZ042Z~ zaE=pleRw(thwFtF=n`{sD9XUO)0{p{fhf>7nE+ zw`GI>dtZK&y=PBRVPX3I{o8-~>Bx;6=QP@=z58>3IsJpTpeEXTuGP0*1Ts`MU#e)i zQd)cVV#Vpw`fJPmR{X~U_s*C%Z^^R9z!?@UUi8T0kF5_5+!z-D&Oklnw@|kh$>t12 zNw#`>UTj`+d|nzrK$@4S+MKW3Rt%~DaDd?=AVOZ0r38P#m4b8_HH0ikm*ynnL|u}T zP?*jhNM88R4p<1RBb1OH)V^5GT$hdV_tJesTWG+6NC>2TGt!(W1~^hxBN#r6*D^qW zTa+-RhcE|)!1CdN3xV2L?w>4XuZRZAqD+_uL>i<#wvxm2R2iK{Nd=<6B4sOuB~n`? zzJCrx;-i5WyxY=TEz!_&d|0H0yCV2@WWEi+LXcKYb6&)J2cdM7?2qNlT-z*=g$>wYNA)n>5;-HEr$ZEdw_l_FCI$ zEudg%w6TA%7Dt@-^jC@c>#m{UCftUDXiP5^iQAgE{@NES;rt3t&a{c%P+$lyFMiAV z<1f4F>h3t~!{7;`-n>I3PLHOh$u|VNvEJ{4^?o0%Sn+mH&?>W8sW-%?rb~xLYJm)$ zeK*>=uYxmFHk}7DRJLBd-EiUHvE4sjKE5V+9gtz#oH>s?{sfR=(UQdg^OYOcv7Z5) zAuc}!upr--qui0F+L^DQH0fN!uFcx*#j28mq}|)(TXJBk5+V=M{PgjD(Z%xYWNEfp zl4YWymboeMxyfUV8bs8R{Rzwy_?(g!QZ)QD@`A$30)RxNjm7jupHexw9h<3xscgr8hg`u%K|A_f!5E zU$ZF~t^h_j;ek?`$EL-}$9<0y@rw!E#gRu(;`TVpTKt%theQPGVt5scH&-)l;2;Rz znPEzhc4de#nuRT>5f8;k+_%48J$do==_}>uuT@^WUUi9)!ABUH z`)U~(peBF}-9s&`Ci<)`00*dv0XsAWmQufHw_;)EfR{UurEuC27H{z65~*{+`Q zK}&sKPc5JTfY8-jF)&oe$iOcFFU>8tySl4w_VxiwJ#!nYy~XD2U~u4sOkYtI;gZ`j zYOjGnD62Tu(o$u&4bdOyCTyW%Qs1^21OQPd@wHqmM0{GjHzv#f!ih0whu343dIW zhzO_&U_x|$GEUUH3OLVMv#ls5J5{+QKQ2ElCO1WrpAJ}nsFY==YKwCXoAVSoDG6C- zfCEjUDM$mzP}*d12GD@bm&M2Y86W`Kz#$3N5xnj4lv^GmBT9ATV_iXrgv+qAMC)N4^R zZwxiWQz4{D6`!V;q#LLNJ5?=OI?Jd}qiCEPK^?QHBw!9uj{Z!lN!Y%7-gI*s*US)_J?N;}*vz`udu%r^m%@s;RwBTfeKvGKfWOVevv9OGnEP zh=i@tVs9F9wov-FXTa_PhFC9L{=ByK7PX+I<=-Px9Y!4vYB>ef00u%l#L;E7;kpH| z15_CtsTvwB2QsvDUT*HZTHSK7yzv5H0j5Za|KaT6l!CO0v!+j)G2`*4p8+z=U$|hw zlErI-{MSW=td~av8Kgz&@x|#ZD1Z;f10_+}Wb5mh77`HexDk~B617ZX#OP-gkFUbaTfML;bN+g~}4*B6}WPXk- z8&xrWs)!&%98GIVf_9b$=;FslQ5&WHnuw@0Nn|Q$Ewz}AFc~6}%*+{>C$R64QDUPi zDj_#XLMaoJ&&<=BuyXPIa~CNlEr2Y}sfb8Qf^E_PG^nW~wU;+Wha|>e1!1N0KI^ZJ zWd|dGg-6aYdl}o@MeKv~k=V~bGan7A0JV(L5(KvgIAHAq0pilsF)2zI7fn+KHX~K0 zM}bQisJk^!hY1$d4b+-4S;a}nqCdPQL`SJafvR}eCXE#M0Av8+570_|^a&U7!#>9X zV*=wLqcorRE;67|^C*)FBmoKnM|Mck6^(?WB%F{2M#qU~JlT``J8`#p{Qp`jkHDQg z_R@1u6U-SvP3J9`|Ih#Qzo90cfAwWUy7BWL_JJ*YaeV)QBfFs{zC6Ac;BfTxw?AJx zcCPdkU_o$(D>rK?JW0J3$%EH@H!|?r9C6YNmr+-f&DBiiXtLTHVK2Y;V!`&! z7Q6G;%NKq+_d|0}Woh~4r(bwx?laH4_1VhTmcRerXCJN#SRE1>92p%Yk;wFkQ9E{L zeEr?lvlqU*S@uhH-NoL4YCuF-n169`_Rl|mzinII)vKpO7Sr&^Xb0Z|HF+3q=o_l- z9-_iA;DZNuNN&a!o2_rpe)F|!XGFJZi^tn$chx#61Il62*ap>cVV!enxbr2^=H7I5Tc@X6%+M@Y{sERMEawFFby7Y&0~gN#sL{ z@m0x^oFqI>{OrZ$C6gySQKiaBm8B*|8dca6$@i|2#;54kB!sWk#`q`6)@x%)0_bG^ zhBzv7Fv;UnHPnA8MI|pVho`Anck>Vj_F-}WltUP>ap9ok%x7t8N_di<`oE>>@exsJ z>S&W9#wgnaa&Fc{W|_j$jj-^HME^u(0JTBThG=9#vY0r7IyOm*Rp|!=%hE%$_{K+a zdM8hTiAgnW(kX(pa`1wP$K}sCQW=yvIZ#1Y70B{dSw*0 zW>vCDYS4u$WI;-4s9uS`D=105Rz;YAFAzI5MJtlXkrCnl8`;PD3b9DEf?{@A#vhSsIm4x2LNKDk@0t~%=LXr(SmqgF8gdT0=@r}NcUmMY9r zr+3(D@9XWQvd7CeE-iijiPu(qusSB{osE8PtzY{=fd8v2KYik@xBOybBedEzksCk% zVQ)>t#e;jz=g;l0ZM<~7=F&$&s{+EKUVZDGw4AJc2X-5b%8H6BbYnKkwH_R4fa2ip z*2At=*eJ=FR_Ze6srPt>e>hQGQ**=Xaf|Q@?!Vbh-Dn40;~o`}joIsValL1U$J1mX z_v4Ko%dNhlGH`~5wku_|XK&Y>J%9aZ_SR(Zu~F0v!lotp1=uYMPx>jdTYKC7YhL$ z8Bv)g8hM!qVUiJBn64_yl;@|B{vB`p4vhe0z{d zf)%ws4$;QNn3alL8iN~~V-Q+c3`$5;iWGveCT8Rn6n=^EiUxK$DuXpj2#Srm1V6nL zYf6@9UL6zoNzj@VA%SnKTJh?qAHV+DCm#iFSQQcS{>F80ty%e=|C)E!f3|Yd#`TdQ zYa%uUDC2@m%GKI9j)pLQ;M4*RdUB;_go%3DiIx##Ucs>-Y@!C&g=>)pM^fpQNkv)K z?CngUglAB6@-b?Z5i0p6S^T<~h>fz?wegYf1g`%uG=T49RaoHa@SsnEH?9cr50J-h zltph)#`3u8aZNaGGA%3E$#ca+!nR0A2B z2kHS1cOyfOwateNgU$|$V2&dLry9DveVidl{bSwzpzb35pn7LAwH1gADPNt>lo0`kK9!sC42FO6XMMOrd-VPuG)P%#;LIDVGBZR~8pGsT% zmu$`gXVC1(*X=AIM`Cd%55-OrJ?B9Zm@ueHsB#Jr0nPxP04PYXdsXJn+B%^!urgFZ?jfZ>2PJwLBt(Mmp-Tt8OyM z0(7zQ>DpjT>?Ud`hVi)I(Ll&IHl)K*>A1zg!xfLX8f< zpFyNwTK&PlO?}{xGbc=)J$u5GDX<48PiDJ+;>3y5r~k*43Ao`&^Jd*QZPGo{C%v-r z!_|`TmC|tR$RG?n!HwEiCXPimLCL5Cq)9jKBNgLiLFNq_f0cB7LhN|ZLGGuB@>fSg zcLXS7!0_2i015=ofMcK}cJ>p?9+)$8+9Qh}nm^~hS<_(;FPJ-Z_Drf;nl}e(k!;S4 zDf4GfT`*_C>(6}}6AXsHen<}1(3Bjl6u0q?|LO-9&7QDu_Ke3CKRkcdJyRZ@I+Jn_ z@PtgBKJB5&lkgJf*h=O;wsh7LOP^c*4rs|(%@p1+Wh^iOTz@&eD2f3t0f8^%L!*NxJ+*iBS9`oeO|4b0zVU2Yrg7BX zr%#NDirrv$_FcIA>-(R4xZKb0JwLxyQG^T|rIH|p{EgMCp8w#3=iYz+?NzH*1O)}g zsg|z|c=pAYHWwHE$G`sm;fa%Tig&j5+XqHRU0!cjU+=evzsf7j`t{7QygW0&LG1he zQ`_t6ZUUX`&Q>4;1clSl;k5U9+})+6KYaD| z_Pl)4_U*YkU3_5B%F}1R#^($S-QhH+j-JxW`m=S-SE#2`{e{ZLE1UP_+^D|v`g^bZ z>A`#Pf9jFPA6rblqZce)uw?a`b?ZWdH_GF}QVoio1@YT+W4De?v819Z=z<(`Y_>^J zkZ#yU+yDz7qyk5fgv8xj3_FV{6>4ivR9=d#I14NS|0K&!)fMH)vs1Z)D3v8rLZil4 z#6&&WlGSgn|K#DtbN_StL_Cv!d}zXh)29Am@`Hbx{Lq8bCOeDNNL56O0(-ABAj~ylf%BVfx&;4^Nv0WWe#EsZ#+9_e_|8 ziTq;hpyyfw;pML!J6CVUP+&6RDA16L^-;~MsOqzJ#HMv;S1K;us39pa-nr#3$Nvd=<=r>a9G~5`lZg zi^@bCdnkQzuxg;c$~xM}(ScD%^N_Ux;LtT(I_z$6c>5dMYybSgJ1V{U#4pDSx8~k1 zJKf$Sb@1Eozg+(5dxgar<`h+X zSNW*Djapl~z!^IGtxXnt%b=sxYHxH?cS_<6Cx6+-$RHY1w2EF3R4vlceD%OyV@=IX z>fYeBIUIvue6eHqp1dp9j)E6anp00{XYbA0=8Fxj*MJOn>Mq`>IGwr0bf@vg`=7pZ z|HKDp&!6}B(@!mX@(Ccrl0{3``)v$}jto%CqO#4}16$>LihvBD3dTLf=6xl`T}1!~ zC<4vqY;cAI(OKHKYcoVgTuut7L_&r@ID};-fi_6;(x}f{R*I$|ODbxeuu}scfDGJ8 zjJpH9zV_n}HmwCgeb;Z*yk{O;`r>nsJpb$?&ptEl#mA>V|Ja=89-s5fW7wo-J@x49 zXCIsT%p>mwt_@Pge-OCt`H$ZTgsj#`{MGT~6BA*wcLP>^61oA%00s^n0b~FsaM=_d z*Rh@|qR$f6CbMg-6cIB`VCIio8e5)f36uhO_(z-krakrOj3*wQx@^hhM;1+cY$;AA zE?F>X>B1?GE}s49;u()Ff-QXh$&dWkhA848Ha`pY->8b&pp5oaNsA7*3BnN1 ztTlL0g&0^*9!vaD-1PoDbK;A zSfPqy&JdTG#CcF$8ox>szCJ$Uk!PNSlmIe-cmNru&6xi0|MGjEn)v+3LyQc2zT3I? z@LvXD|8V;IpDvv|TY8$O*8vvpG~Wa=Kuy$jSNZ%53=2>bKn4hkZfi5c0*?L8_CXIJ z!;p)R0S1TwImcSFI(wXMF_6O3D;m1?I32B)f$IMLa?#HL>Z4`E-eh&Q40~#NN2^`l zp^(T;saa{YO?783o-QoOuc*3GT6TWnWAo-ezUb{$p8^N`;$+g4EMrmO+w0c8zhT2O z@4ox$^5rXogFo`yusS64-Lo= zhxn{iF$LAgh0@faF`WvCmcI7vLrdnqw(4U%LVl9y7?VCiuU#7x6_RBLOraWoJYd|1 zkV<+JwjpX4+=TCin@!RWHf>dl&$8XR| zsO5rin{%HQ0Zk_017}EzwyQE9GJs&mWRp`pHbwhU;Ce`#M_zkrLqhCECG}d|pp~st z#pC2NS=6VJ2%P(Aq`|V-FqITq9!L_bm3cI1ge$Nt!A6MBaN;FC zePxLM#6@$SfB&sD5t}{>4ftTg>h;l~tHXod4PO6t;2PNbp&OP*1>yM4rga~LZNwiK zpQK$I89aU2q9@*d6<`HH;g^`eJsg-Sa7zbrW-4Q$CZNF?8F&^pkO7=w!J>sPzwrwD z8AwW;*th%J9lO63u7=}>xNXZ%SAINm^Yn!~=Py-U^dUo4`<>dZO3(&y21W+Jf)5$G zMw>`VxH`J5&EO9J2b=>LfDfETIOL=rFGE&Ph@Mef_s~eY)zQwi6#$39!HS;#n}Z`2 zc6XE0+hKLk%qgp@O`jB>n5^)4ZC$->KmU5n?i#vLc5Uf1k1l)Z=}&_EJ`C_%5wZ!Y zVfn^Dzu4Gk-+PZ?VQoajr-4B)fAHaJA1{C7vv*%#`OeGlzxL6(Xk$lbi_2qoxJQ3I|4WQCYWJaCsTrySWvFGi8QP((tJ3AQG~MLt%yIR|Hxw_#}dHto$LmULPMt zJ9~awTv0}JPIAI#0)&J@=z=te2%2nLoCO0i00d&vlWONTzs1i0Dm<^B|LQjU;NpE6?3CW9p=Z^VWoiVBZYTCWIvGD63y1xo7_LKhByA zcCkFne??3%;DY05+?@ED#nm6pe0CW&*>_ehUo8m- zdk6gh0T4Z()xZj@BhH-=@dz4r2~6M;PHxNy`GB9KV1Y{d)JN~#zhuG0WsBYq@>>}b zwpJRAi@`<}ZhqEFPtSaE*`k-8`!HxjsK~CQ_S7kQU>A2`TCa`^N>osuQnETS(@3rW zNFBXb;%J{O6zaPoVA`_!>z}rBZt+E~MgfPHezCtcVDl_uLZ@ zDKCBS=P;cde-}QBaDKdv18xBH3~#bt5d**nGR&GbeeO(hSz}?ka^{C0FaLD9^vwCP3m3~T-5&29&78p}D8>mxvkw_S z7%cA2Zd*&YP!<3OMg|54i=7e!EVi~GYv<5t_lUI%`!e-@7wwW#nu8S65e;KK0rw&;I#MV8VK7^!owpR|W?zdh&@EUVH7u zk3M?-gAbm5`|S@mYzT;rdvnF7uP^`PsW;wy>g|_54fcEdjsJ(Tw}6iF>e~MMJz9ab z6oXwzw770;NEqxNC@eh=)Kz(0tdqPsq#vdEdV4`>%D@ ztVuGN%uMd{JJ+?(*?ZgSS(*8S1;-@B&zbX$x|)iyfwsGwrz1g(o`|LvD zrfokYrG`9s{3l-9=*!NriSEG{?W3={M<%*vGy~n602$uACEax2ldag%59H`V&*n{O zCy#PS2Ilyik8OJ2-g|I4F(v5TRB!+AgQ4g3J^lB(`yaG+-G0=370fwuYFBOr_u}od zZhp=S#TTnYm+Q&y`Y5H#GI_STt0VIRrbb}kPY0`)m6JU7jIcl1j9N)hQdv& zi+@~GKr<*etpyf9126%yfr^LH%^S-$t`)6aAzV?FQBedY;7Kx8l&6t2Vbc8px4;5c zZT`wCTtc{h)Q$D!^)$saRqaCj$yy8nP+J;RDM~13Hqe0ukuakqFSDFfMaD(z&q|u3 zBm)VpZfxim6B(M35}L(|5()6&U~UTZGqm&qRk^_QczhX_LxOd*WYfpmXe8w)wOj-j z4}pzadi;{k6s)9)l>+{%l2j4TJ=9-WUspl{s@F1H!1GFv$Gl@AllgJQIq@aA8MUR! z6(VkB5he?`6j{hucD7iktpvmF8t98@2slvBLeEz)Sje9_>WTH5G_9!4O{)-Q*5;EF z3niGjTxbcZ7S!ym=WD1+=xc%uVSE-%y8s3Q*vZmn`m(xOFg3U)fy&VA%%rNqh+<(x zX?9XRoKyjP7BmcH5oBI6<6p?xX$DQ;5+q7&K07QcIV?U#)j&tdP)Ebs)ITHMpOX}j zl^R!>M`Oj9-H|z&v=kn-W8wK6Z8zKRdNW!w=aMUH=dGR z%b7Ss>tG|u07HR6vC#Lj3s|6TfV+F-gJz=?;(+gSAFA? zLvNT4cbI^0-_WhSo;M$who0YkHgsofq7^7O@XPi`53Y>AY>SI?|NeW?@KAkQ%blPA z7aMOsuh_WQyzGFC6sL$_V<%fH2OBpx7q94O2nOf}J3l{jS2q(UXKPO{J5O&bUr$39 zCy%g5V@DSYN4Ko(LIru1`QOgd)=<_|Q*?B&K7ZlN=!?Oc)is=KPGoZQ)2185O1$hG zdfxWpRZsu(R={C~3~xW+wN0Ely9sXM!~3^WQ)BN*51QWBlRY2ajkGo2%+HOPeBDb< zM|^lk+jDPRzhULda!3nkhQZM%AVX{SjfU2%_Z$A`A8Xuoa09n6?dHq-Jqai*tBut3=y36m4E7T3=W7!&(5LY|Do7tsCjw&)>KvZ^LTQ z595`1pt9EBx_ZrU|kJsc?o-ES!zvT`to92IW(^yiwn&Y z#2u_DYL?~-#)k67hF%F#SQ+6=ahYL-!sGE6YC6bXXLS+2#E$~Fsp9G?@*3*$+M1!9 zG=FX?ggw^N`0`wcUMYPIheU}1VdZbrC}g=Zt{W_fOOQ5I1Vn5rdtW^T@= z9xiDm`S>lG4V_vnOfKN3lnbMBSTT8-R?9r43^m2|)U+L}A*DQ0qeHVMqo6eCK{QWU z0TYlUeWQ{Kb5yNN{gV>p4E4n{)$weuks)}4G5MqKW|}+L z%1KGfN=iw{NXu)GEbK4;^>0;8Eo(8E7q8td*F?dlxq?0yc}(A_`YJv81!(kRBU!qQBN z-XUfTzwH}+KS1s<$0JGN)npG1>rK4vop{~#ern*w1j)2|H#Imm-qknQ$l=G8u35if z+s}8OT)BGv*p+LCA?!nALS6j>V7S~v16_jsT$lMf26$V!IXU=vz(wj=+G?9wX_;E; zTiIzCn`@d_m^r%YS^y*=)~@ajK|vH!36Gw|b?q7kC8Ghc>JNWq7(9_!KchLMgMIazzAy9(usU^mZ+CZdPj}~r^=s49+XNp$BiOjbm7{ECBCV%`i`+SKSwKe8GF~dFOF}Aj9_K+qNFqy#2toUB~wBIkE4* zlY#D9GIb#9;U?&j1{exThVJLxJ!6m!J(LU>qpvXWN%jQDF!-tmW9ZE@zyVkoc?12> zPsuPk*$FtjeBDiJCeX@GFc%|Z?K$~rKW?tOaP#W*hd0Wqa{GrGn%nO91i6L8N5-(( z+|mNi@L>Pg2nT;J&yYZSZ+8Q0b2UTV=(IRdb@7&c+mE0B^_LR|)^GhjyEM-u*jvxY z*wV?-!o$@j*w@s@%|AAZlO^!=_A@rpTQGmFoSdAvgp|FrQ&?=+sY@qdk=i1z33Yyrp-v`Nen}$iUQgdvoaunfR|KC!ivj0`kwGeBQquCcQOZx1Bz_ zH7Uhkn4K&XrtRGMJyZXoA4ZAUn0VOr>`q(H&6duaPg<{l4Bv09_6oG$v2RmAsE?G4 zq_V1twY9a9l9H2?1DlhX%wggE0s=W3>#**ZZdz0J0~A7?=m%1R1;znnfLh2|w^F#K zE@xFu_UhWKwY7Ph){wl*?^l5gd25JT089V@N`|cUHNXN%>t0=j9{~&a5j}X%OcSAo zwI!wiB|{)98P^`k>Os86dx7iUon0Ns@Z% zAcGuH;E{gZq@a8@DgTJYv^+PZS`?O-N!Gz!uD*-yTv;g-PnS?$1|(T`_AqM8MYnUx@G^*I}h#H zab!1S!@kq|k6t)-`s%3*cg|BX%(#ihXHTeXpog70Mms4MdS7(IQw&V>(8_PX!q{sF zgFe84l40;Q`~|_n=-Ylu1~~WOiFUFfIMD$tjE%RAj1f4rwBHVm@F*&flz1x#hBQM_cxAi`` zcK7`GD<>Z`Tz@vw+S2`~wyw%2)KA~e93PrnM2Mc1l}}(uMmjekEv2-&a^ufGM#Mz_ zrO}Cla7J<&cUbM!_A}Q&}Q$eH?7bN$AA5y zwe<9Ey$3ywwhg6D%`jnvH@hE zS-KPp^!lM)*YzCjl}wGL^>tmNL*XXqvEI}w(e%>H40@r`OC4l@n^4r!1Q`O;6TLDL zg81o}FYvzstON;Mv1*EnMmm^c0o>$J5tqe;%9G0TU}}~L(s3CB8hF@J4HeJW2&~0n zIhb)-8MP!Wg48jn5z#DZxA-t=BOP%)4OL6?P*!>%FD0sg2Zqp%66UZ0pK1mYwaCu` z8O(g$C3H3L%=STkq5QOET#|$`y@E4&2hjXr3prZ$R`?M7)r)kV~L5Fi%`@pwZkb15-#6($86nn6xMe%9w-D5$8~xj68P1ba^J-F;^F z)}vc~{^iGAhj(s2ybH47ms9(WoImH>}tMd zo#@=TZ8z@zcKgA(+mA2YtH1oX^=3oo{nlqsx<*={8OFz%&XsQ`4jf(sH}T>9TeyjF z(vz$EV?~;wHo_yQ%>TUht^J~nm<-?x-dmuwc@7LsvKu` zv(4MrhDQWSN{TBhD%siCYH4VCxH!8wIjg9tY8vS$@Y%@)BvvL|P1?3X0Fa_>Yimdc zIsT}fvx{1scWwZ)Clmm@uP4`A*;6Se> zZ95w(j6sIr%v5Lw61*zSp|Ni2BPbK-#paP3yI5HPnn6}m9b|xJAn~^%5{(aJCt}J= zs4FQO>G~!{`LYv23OK}i<9aS7=k&kyOwS-`gUlvH zrHGz$@JNUP86@>}K!)&47RZ2K#{{32G0agV=z*{t&h)yU&DC?YU!ti(H3Jr3z=4)( z1bL=63#vs_DaGXT@FcM9A1l%n05zUTkrMjqP$>=}0U!fD7Fh#5DSa*X_(-^wP^``j z86pIk>Ne)$S{nG!JQ8AnNz4Gtt6M8mq`EU&VVNG zgjgv9U1<{oC2P}QZdx!m1(1OP!RJpk15*aJkP?OnIbo)Sw=1x)NLkjv*%odBc!6M} z@k!dHg0{uMcL0rWPO65X-lBQ)NvJ_lK}J;xn&I17v!NLr+?<4^Iluh2kCK6uls~u~ zWY~Fh4<*B?E5H7~kO6|>*?3p~s~%usfXS<3W<4*^Hp(X{$~82=H#!W0 zLBm-8@X6!A!rr}mmn>QGkH7u>+poV7Te6f6DGA91^XC8k|NL#yl5ff?MPsj@|Gayf zik_~awXKVHptZe+xx1U0i;J_rZ%AxZc}?l@lY1H){@n6&*|FbtoxghY>YcN<9$$RS zWS}>6KWrat8X9SM{=6G*;=}t%kfF1)k!l9opN^?GG0G%glbFN!L>JSqs_*5irkAhk z-%Yi?c=d4T`Q!fKM<7Fc@2%FZTOdR4NPTfN*U`gl^RD$_F~Jg2;&6OcR#w{D+K!I) zHdfX!JId;6iJXiCK_)yYWP@;hExCmNSXIeiSp_(N46qVKKW-@6xEl6>x4N3MstN;$ z04U%ln4LkAyTn;p4l)1>9GZ=_rkYN64kJha5ZuSqP`9$6!8Es+Flc*RW+c*BmP?l^ zrVeunEdxRi+JZb{CSd7dvwLX-az-=2P0Wzt^20y>7dO%QyshU&2SWye z1#;4fX-xB15y<}Wu7QtPnL{r-24A+4gU93Tqc2*9MjMAlo4a}+_6SpHVZ0_o6 z=jG+%>*MUGB_$=skFGfvXZg6nVylMlZ&I3nYogJqN=)DTuM?z zdJ>ifvhJ^~`XoM3m9wr6SO6SIk=WI>qK&Jw*4FaY)bQ65@gQ7PlfM=}t)#0A+yvkN zEI`I*)Ro|Q=(%Y6Y)m`XQd~J|mgZz-)YEZ~4QJwPEJ_Bt8G#FuhAU~aHe7qK)znQW z=x8ltr_eY9+yt&$I0&d*kU>dL%Qrp}S8RlcmtIT~mI-7k2xqXyDH!YOJ6H*qSAqwwMcH9|7RUgvK{Z1lFAZ{n)Kr1Z$>Y%! zY|K#H0cNRe0Dy2uLufP0CQ&-Q@-a4yTc<936 zJ-_YQb9(o_U-#}jv;%_S(>TNV+vh-rYfmo!RRps8MF%BA-$WP00LU;n-ZAj9ooa>| zH$mX==Gn-*eySNL83tdp_m4FXfC*zQ&B>S=1dZSQ8UZ)LJ9 zCC)o8#yZe1jFsvZ5)hgY;}sQR>}1O?%6~mIb^PQBU;&ra!msCiH4B1h∈S7A#!4 zc!`9Vxb!#Q&inGKZ|2YY4rBJLFVCDg_3p!4P*K~=z{=gh+TGbRILO@5$tx(t*2y)E z&Eg2yKW*8t{g;g=&h0yU`RMOgPF%Y4`_%^*Z`5CT()D0)`040q7s&AT&G@0C>w0@( zBHq7#GsrZk>V5yNpA@hAFhn@;YW&vCD+dqmc>Ltb#AN5oi8h#v(Xj@Qfkq%3+pj!s zyj0(IwY~4YK$K);uf1u{`oNgL`4Wp4NlU2dXlWVf**e?XSXgSSYpAQMN5{v7C&%Lg zVAqvqtSF_W+n61PY9=>o1!pgJQ6J&sjruvqI0gJM+kss-983b!!@ocJPQc^spHOuU`h z6-CJf*`cg-kO9mD8N5^DqD6wxJZ^Mxc3d&p2T`>#!;j>Q417`&pc(KXkm)SSN-ZW1 zg<2Tg;*+HNJNW3*OY?X&q`WruL3F0$)-y3y+&~9pP_!`xWGET%YVpsa45X%SMtk7G zFm$n-uOKC+u4w7w2H=oAT*gh%&=8GC&||Ln>tUHGG;cyxQAtiok&rYwLU7gfTGM)Z72)*}&u0jyvsL_nKO7-nf1C&#Nc>gB;Bq9Q~rBgVGWm zBbV7O^TEXvm73@q>~G`iu4QTRxT9s@`G}^rmXw&dyqM(N&u7WX%Ro1%s3~h`sp}c& z8W`!Ts;j7Js7fuBTrg+;=l}Spp04)mH{(0^?+{m(wDh#GcCoj0b9M0Wa&Y&xba3+x z3FGDD)U92)esk@<<6BRhJ8;8L83i z)VN%-*rly3&01e1SYMj8ZhDnx+MN`NeyGV_Q_ZU@BN@1BYYM(!nZ02-6av$pphB>2 zIlHbLlPG9KN14TU}Qd z>rq~L{-NIv>^Zq}$BFGf9sGIA(H+}PY}4L-nrL& z=V9A@OpS(~`le?ME&WYxgDoAyZA|_(*^>Bl489^dVfgj4(YO7$9U#HUE&u^vQh&k3 z8F~g@cJ__6_l&f34K=k6Jm~DN@925b(ssSA^Kwhq)u@CJeRE50wje4Y&cn~gD>#S< zeqTQqKmUNpC<{lskf<A&71qRyqwHG{`qe`BU5!v4S+&LO;t)pT1HM*LrYVa zWGrf#7#WK%75nbnIsf|CKQ?Y$|MvZ)zM+npg|W4Zvz@z}qn9Uct(={3CoDdWo0prI z#jV=7=J4;w4xc-8=IY7Qmw&x*>%zUp`>k!{5a#gn`(tB0o3|G-8CCD6tIEIWhLw2p zYWVGBUsVPB!li>RCc0m}9v+__uYv%?ZeL>_x9iE?YsAE@KJNyjpmNq z-F@}3iGdalrgt9S)-urfX70S%bLK4-laP^WdVO}8D=!ZL(bY7TD+7_B~qoCX}%05V6c{#WYm^o`s0?hybO1Us90ZzJJV;R z*VfVi0xjtV&IEB%_1qmHm_ssCZ34k$H;?cTTVF5F)PxWL2V_Vn%JWW5fNZu43$_gM z^N)|T_Hk1&H>CN_G&ur`a!gS+7FLIdVEA-ECX|-};T=~pz1>FlJ!$pz>D_s(znD!- z8K~*>CDK2-D0@wLqKG3{Q5-GIFm$su^LB~IOocbG^Y?TO4X_LJ_KFL~tO1mkaZ`f1 zDSk=OSnHi)mboQIdZ)%{yV}SaXay(7M&+?FKn8j|d!}t%N|7KTA9^E;CT@TXspX`n zB&OAL57{zNVqs2r7RNav6mU?pHo=4?GM*{wPBsGa`HrFflA0QB5n+k>Ic^D&HjzOA z?8JbyxZv~zQd%LObcVtl$E^2Hjl~G#riSw}VzRm9d^Hn3rLPJ`26JD>*;>Qi+%RKLQHyX7OzJ62m)@WRiMBg(#TnE!0r32=b&=Vn~3O*`}m%Bw8mH zpF9K%?1qlH@sdT0)l^iW85FeDar?!;Ki4uafTGT?C;%Du{<>@D$sIo*+PwAH&aKC{ zZa=Ye?{E8#Ts->g)idXAoxl9x+Ku|#e?^Aw(P=pJk9X7YDH%rI^Z^JoGKo9*@?*Kk zp26|1e%O%bZQaAolnhVXZr3;6YHGO#GCXQL7oQp#m6Q?|9}^rM;TGr%&F>cA?-L&G z9vqCJYi7J+-Ky7bC$QkppZCq8h4U9JUTWv$3PpqgCWuQ)01iqj%A_#6x|*iC2CT*0 zIp2Np#lI61Y_2dsMw)6PJ z@VobuQ>4`dIU#-h#>GuP*SwqR1sR?V-0kkY)7g8svE^Dz=bfJZryzr_sm9}$2P&GX zAj7wF=FXeH5N|M5qHI!{CXpR$W}-0kpv zKs8O2O{D@YjYun4Vu2=&CJT7+d7Oko{&ZSpVRoEIm{^>PH$ovsdTthxLN5H8%J^I% zd^)SV0P8Z038~}^#FgaYn;8Z9;h8K$FSkXyYSNa5k|x@Vbd(*!{G)O*LwKoH%e<9r zjF;-Gi5qHQC|DUTW2XQP@dYHsgPgKpT3aTOx;Lfaf(#729c5b+S#v%7;$mGD88cm< z)EN3~Hle=wk(z_Kik+#Lrm|CrzkhO!du(Vt|~I3`lOml-tMo!U3NDF6y&SiEqN zs6b8~1Kuf-5RW%gIW7 z_2uUa7cJ4&Hk&u$aoT6j^A^-{nB}GUkXohdU{$}pnIm*h4V=qSv3UifJ70g_n zOq?BXYvt)??Ec{=gbaiCI(lxk zb=`W}a<#SVZuekAY)Y_|qv8Gfn@Z}6U(KHN`4?Z!ojYG%Uf#yW%FM!CPC*{BCm}5* zJUKp!pF#e=tIG3#tj=6n2r_W%D%drpSt~1;?yPl!4Lf-NU-_&^5=uqn*A7v{OIa6{>7v|c)&kYVb zGN13B7zGO~Yi1y0s*hW{U>~fQ@Z-2>BeTht8GJFOOae4>Wl@A6(=94AFf{>FAb_0= zT+s9UbVZ{omr{aBVaTU^!(@Sez=+A?(kknuzIt&EB(!&Ygq6RSx{di_EhR+@193ey zDML+6iYNgqC^HF@MM7H@zUDhMSusNmi%=g-6S8$!AfWXbX6i`O4lno)+(bqhEv&@! z&0!k7;TFQ11_Zz~y!ZrBZWxE<85d1L!BtHDLOz#te8?w(#Eg=>&ey=CxBquuD`3q!ivJ9ss8UNfTehryck3 z3E=HW7-`bSFVIrN@Jx!tCk%CD=w*e4#B=5tRzSgQc!}~umBmT;$o`j<^imQ zm$Uo#|9;@;#SL_pk7W1{&CuNU z0Ay(GeDtXPVoS%BE4Pk%1h|I8#D}KDdxQm9dAquX1i35=gidn_3-O7IQPbBsfBBE* z)&>Q6DOnkDkO7(jWB>;wrKJD|S$R23D8PZVmX?=;WD;Albk>(&Nl1u&_St8>eO>D} zu2E8vH*l~uc6Km#cd_zvw+0!!+${kI4_EK7;M(t3|917agTMW9d``pVa*FkiiUHSvM+p|h)n zCrEfX**-Y>sDJoD@4)@8zWdD`H=DZdwDvrVi1+gecKq|kSqp2Ug^L%=n)UU(c?*^< z6*n_61sQ-l_@mgwgvgYHglsN3NW8it=lcrw3K6C@lciXgSzQVy@ajmhGAhC$81god zU?VgG{3Z|q6o3r;HB}fO1Adq6elq(PFciEM<-~w6^$IXwtOC8o^)zIRbzNh_$w?b_ zN^}knLIO;H28f5lEfCQ79%g+QpM`4`OW{}YVygCL5c6cqOvnOiXlTyZ%VnOjoQ$DP zP(~7bN_Z|8t1TWt_dThbpoe}*6pktRLa$_cY#3KEmUz--vZ^qYpQd7MjMs)&7{Sf( zN{+%D!JHx%CZEV`czKVwu%LwKWoZds2@&{1n7LsBGWDR|Y1avw$VrQ`f;Mpl+_aMH zBoQdYrSmbVWV!$yeQji4rjS&Gi_XbXG&h;As$}45M-EzNvq^SXDG3Hb?ZeSXXlrUY z*t*6?ImU(AMiVdNmJp6th|dR0Ic~jEqA|5S5+g8hN76t`%23-P&>KI3LP#tTBot?n zCQ~1c6+Q?0E3R>&xOIyU!`q~}s#*Ld;CYw;N1s} z7lWb${Uf66d_CMl1092W90UEFg8YM0lDuQ1?Slf8v^Dk|{H3wE9$3KmdiGayzME%Y zVy>vHL}>t>K<`sZKr+Ei;EjukEtw&Mx~7VelRXCDVCw2b&QAMy+WC3g`}mIDQuD@riZYeYn5tSV#I6${r_=WMKnn*bcLH;_Y9 z@`_){r7$IUIE-OY2Zyn%y&VPA`>de@Szl zC=cf85SFQhN+t*pv50(5oy}x0X~IY!L*Jd-FT-PLDFV+^i(q``cx*0$rVT$=!)rR zN*j=q-e6#8HVdi@A00rUVriscVzgLO%_<}yJdcMFQ%ocWo|C3V(zq*4cEAhsPK}W@ z(OascrsZr)y#rATA}(oQ!TjBs{+QJ3(I^4F8N+0q%-|GH#VHe6c)P+w%~g@H4j~!| zKgGX^cEh3WjCSCn_4J?_ES&A7BqWuYy$QGpD2FdU|ALYszoGz|fkq&IJn+*`hqi(Y zJ5KI`n>cXp;IT_5X`JDIA;W*f8K_{GA;V0B0bkB&h8Z%<$Ogcn@!7-r&Ie5$j~_n$ zv!U(sg=>ey62imcNz5&dod!=4lo0C`5$YQg4L4!p;;g8pzGB^~k>`Vw5{uy`7R;Xu zGT1mcLnpvTh)IZ(#@`?H1MbVo$jVB~%=zZqZ@!tWs;WFXHk6w$)YaF5k1%$!*SE9Q zv$cY)fT3{k_p$f$wsN&EsVhJE$FT#acAvR)`0VB5XD%MQc#Uk~j)P)*## zhu0tjlS#pxfqXwYIo^?*6A=^dedYS8*KbE(zj=A^&|WS-X?(JC^krlJ=tH27Bu(^? zf=*A`ZajP5l3&g>w^3cap~N@9RZM)5tgO76il&N^s-wM=fq?2l6sn0&M>e2p;5_kK0dQ?FQ5&Qrnk?S>Swt2AgaIB zkXvl1E^eyrml5xk678E7i=_?Au1`wbLN!GNV^V*~Hpmxb@J@~U66(Ui9G5gE7YW}n zhx9V0o5xM}x1|LkDH-ra@E0*7O#D6M%ng;S%@xdyq3VMKX;B3{s^{ra2+Kf@Q!2=~ zg#?6U67_-y(6+E-Hes@q=8!7!CE29AL}?C2N|6BfKX!~F`IYg7*$_oc9%U{)EW}`e zzl@WiY-y%qWiD@`3lxxp0fkw#MN}v!1xq%_AY-KO7#-%%P4#3Ygy(Z;Aq(J(!eM5c z05jS(J`DJU{!y|vg=-+vB9|3c$b)jAT*W_;?sd)_p(I5fayhhh=FBlO!p3}ojlZXu zwhD}ud7uZx42?j-LuFPL@@h)xo(QYF046A{Bo_+D+{sQ_Qi_rRngPlIZbHw*#M#re zu)65T?}y+fJ|)Ap<2!br-h1f6;S-ln(Y=ZPg$y6d!~SOkas~ze6=9%;V!Ug{O?;{u z9(3G#(0Z?_>&fHh8+Yo@o;bfNj-3#ikm4H}?BeTX?dIg-=WFZkX5r#uWM^mP=B8t6 z6q6M9a^ktIjrrHJKcD;Ex3gw{V`=L!Lk1{t86ZPSl4=HdSvjbt1@q?r^PhiDO-&wu zHRj^xXlSfw=i!cF>Fi`|Yh!3_W#(Xy`&KT_F8-c1>z1FobmHLYJ-_{Vbhp{@x@;j7nH z4Gy=xpBfmOXzUw#&^vSwnxSj(VN=(wM=jU7hZ{i#GaIEf8%smNycRB+i+`4enzojP zuB(HKp`oFSjEuUvdTe|g$dDk&1pKo%EKje^W3MRWuBytct>D$wfDE*NAvNiORh5|O zB)z&k4=WlIWGKq}p)U9P<$`rpln?wh6-={fl41bakhQ9kENV>08Hj3S3u85FGif6o z2wmuZU?B_^pdgDrVSGt8JwE`2Oqz686nJLDE;1rm5Hr#8fDvYDEMSce6R>0Rvfv{m zwAB}?C^&@$1+tPAZA>W{Z~^X7??#)`r-Ak7&lEatg~3&@evDUBy_CJg3}W~hTyEUM^9RAK56^&((Myz z!VFsv9|t!VI~ON&TWf0{b4Mo=J4{{|eG5}P6N6W;U#?%ba@JS>k`Q0|%I&${Xv77fVwY1&>83u;$jF0zi+f~xoc!xwF zL4)_hA4n&fURoX>&SL7r$mB%t$Z*5hOHvm5!&LW+$@y89pW4nAt>x%0T? zN`2dn?%}5;wE}xL^)(xd1DAO&Ub;kFLPlGMG^nz%vvjs}T0C!&q?}Y7J1&M77o8Q) zDb32R5#_9}q!r-VwS}ZvGjr-SfjQ)ZAz%(Pl5D9JWvt~TB;LpbrOC+$Sd8VR)N8OA zh7@gDEg%Wn<*e$G#8Od8MKNx*?QJD>wPg(Stb=?av)D8e$YSz&Y4Q=xETE@uFoH6Y zr1Z5&0Y)>UptQu`OaharB4KPzp*Jr@+*B8a0wTmCD$L5?YnH6|w_=M79IgG6V@O0I zm#Jewi?0?7X}iW~5g%(kR%E&@m|V_@6|pg)JQHJ;Z7gNYjMQw+!?>w%F`woN(fgQj z3uPrGHI%KqT?3M0ND?&WU2Z06>cq6hqcvb*C}=ZW7^v7Heo{H~RRKm~SvJ0mDTEZs z$|%VrJ!Oi7(IP=yaZb39D{E{ZYp9R+;+q^B%uR!v030H6GM2Fu6ijvGElii{Y1@T| zL}c@Vc&sSG(t?z#qM0-kY6M92=4`feY>1+%v6P;UwxbSIflRIWdnM{=}cAVu`lhq*SLRq2k$TCRP@h zDJm)|@Y*^$I#P17pMO0|Ti?LZ%Qde`bm07<9jA9~`*p|m6FavZ-AUkZZ0F8j_a6A2 zXomB*FI;|j<$C>%yUjNrb=-T>^#J2(&!g7C#*UGe4%+l~teuu^nJ(T!0}D(pHtCW5 zanSFKFxwLp3o{YOj)8{Gfi?(+#`Z_e?GNtWzjXb^nO(cr1qV5p+1S`QyII*g8(3JI z+dG;$+8Wv#Te(^3TAIpfseQj=%l-Pt-_4u*-FM$DS+scmobPCnZES+MZm|NPv{+!E$LtFTB)Lqo^L+R)nA!o|ToEKJMB!OYbI*zphaVX+cd ztt&ru_Q27TyHA}zbo#>K3s--=aGji#ZfLsP-BUmO{NdPG|Mx%UH#FS+OEOG7fB&w3 zV!VA~vg6$p>76n1^5Mw%gMsHyy87+|4sa7s$m!^tePhilHkP>i>95{U+DpqZK*1^b1#xp@SJ1*hk;6LM2B%J?}eOR{PU1#8Oqt4cU^g|yBpb)(FIgd!So zn(lx4u|X;%0X^$T5dm)GZK%U2*tnwf=XH6cs7p0QW?dDlwj#Z{jFLgxP|q&Je;GT4 z99|N#Sj=CU$+Dyy3N%GAFg+1ukk-?JW$;gq0~ulpg>hwhDRrfOoRp;onqt~&Qo5QB z0lxA^dS6K`6;oC4j9iA53sV~eNc-5+jixx!zHGF6BQ9f-a#fU08s}9NI!A{u($XJSxRa4Ub#A2(J?~?3WSkY&oE}-K*<2jK*x-1=;&{5?ta|d2{PP& zRDb36owNH6Y|Lbbg+?tiFf-CKF|u)T!uoDzZ)4?3;9%llBcq|BXKA`~-(DLB`+xrP zpYy((3phy0NXsiKEEN}f zT9#(Uwx-5*R+{GKwm$yGM3C6}`g?u<{i^+kxBhYYY>bZQfDm;%>7PFv zcoFa#g=Cvj+CW=ELsd>!%Q?t@zP!xW(&A?BjuDxuWG|1OM(Qvy?w*_w$minK;x)7D zN~zkxyu$-BY6J z3^FK~8u+KiM0XNB`pQ5=8-(3KS9GEoc_UP9r_4q@|RVl|cp#4GqklFJ{luH!$+>^UAHr-*e`dUBB(w zev-I}EeFYwhV3VI?>@cn;JG9JBN>2&nZoj<6eQF7mX?(NbSL6dEPM)wnegL(k2Z7+ z)VDu-+}v@yq50~gCl^ltwtef?sw7r;K$yFkow2o}t*)7|rjfq2i@lknwYjssj=8b6 ziJ?zWfKPzGlZ*3bpMCcE=bwu$Svr62ygA=|3&-@$?5}5kH4Ar^E?)A@*I#`3#Xl;m z%O>By@{b6V*HSUGGgmj$xA$;%4e*iCP&0LQHgj@_jE`NlrtZvd$2MHyBfEd8X={7@S7gAK81LVc#LUUJZ7*Lp zj=g#cLoqVm*f;VBaA@tlQ{Q&=Y5VoA;m11;u4iQh9XR@5G3d0s}jkh{8=y|PHKp_;e4GOaQvYjr7<15Fa7M_E#+T3~Kz3O^o+D}nTz zW5QWL0d&I0Bu(Nbzyw-CnH-9MY*H1R5U4 zZB#A?(ptt)2Ut+EG^K7LEQb@E&5p|E`lZCl8R{w;=ql^$x&;M%CnE{d0W3f;kX4>3 zcACrt8#BvAG~h6O?2sAL2aRflQF-Y^fk%g9_K`S)odv8tGy|mpU6iReCz;NIOkF4I zISP{Ier~YUVN8Ap6UWG%X*>-|&@&P-d70E4k&dyna0K%8 zyo{kfB|~5)*_(jzi7VuR3~&=FR_6H7fUgj4dJu=;6eN{)}cL+bE^Ej*)WJqlUKV6fkds$eRg;pE`fBzp14Co40H5r#+?^+P z?P18UY5$K~4{d{B*l}{to-_Looj-b#sRQ{RWS|*UGi2x(YMLR#r>7U_5ol7V;p6!9 zT=b{g6Ca<kmLN&+yaMuG?*0x9S`IxNvFDAAjs#y{RCD6B3meHZuO}ZxtzLUssu>1f!V*#U^G9j z3ht^3dZLL&0|+aa>d?5QwdlFaOUbdQm83Ro-iDPq8&)Tm7h&kRI1)0L8@tCxV(r5M zhvkNzwx#hjx>D1p#r5wI7a^{r4g#oJn1rxWATyw%BS?dZLf^y~EM%&N`XGa?kEf)L zhE1SPT1hUZ21%f<$V;vw&q+GKmgG^|P)km;IHwOmRq<0RNMPSKG)T(WK;FUxZUQ!e zRH9~1_0W_EwQ0gdY!1)V z%a!!n(9yK=^^DKq1J0ls_)m*K(z=o9AFWCRD;bu2k%X9>oScl5w7Rm2l!WB$*|QA{ zj6D6k1SQ$qkMG!Zn(R$%KJfFdqq`s&cK*6|?{5eGgABBL-3%H2D+~L7(hS2NIq*M{ zVTKRXO+YX(nxVO8q~Y0UV^`mU?!Nm?Etjv}ICSsc$)2H`>whfNHkNaAw}t)DF)}c+ zu+TC#0vya8Z1v1dFr#JFlv#Z4(2M6ST^%{u0#g&CMGNQ8pZDE@`Ew=2m)hIel$92D z_O&*5K26AoRnt{9vN1NaF|qYI`(3yyRRWM z(z~K2ud(C)-6vNrUO(M6)bz0VW^dnv;gNQ@36SC7k=6eT8K$N{hPJnFTY-gFZ<=4d zYZ-stGCcOAZ{)$V;rpF^w?Kx*u4@e)*FlDJ*A8VDMxXs-pM$dn91t{vhNiBBl%#@^ zY;Z(aL~?9OZYIdUU0s4n#3hAUmIDj?RV7dk(6NvW^a8-hUQ?aBt`;McF%%HwH10%K z4XPaI+QBC@sG!?|a38!C@E6OuD{GR<3NZ{kT^H+Uh#Tm*#z)}#56fXgj*=5o41Z?o zq|wM2Zs8^*^)#jRv>+RT)038A1r!NlN=Uj8K!NXzt0`kSbBhRZ2n)nTOZz%S7m-4d zX|+t>+tNICN&d_k26`Bhp4uWyZ6zcM00Eid|KLkx@~UT3V1;m_zc%nE@HYsUq7GB;BJp zhq?&`6C-GbU{VB+4f_Ml5L3X3%t`|pR@AKU#On&HBoKdwBwIzxshT@NT3sBGwZ-qytgA!$i@8g2MUhHi|} z*F7_XerE<3|3L=kq;zx7P-D-)lm4Ol{=vsR&+ZNlJ$gOWdFc30v*-M6k@$kJ=x{e5 zA3YNjBMUPC0fNEC)yc})!OY$UaDX)0xP9~3%aMVhXHOd+pa0|Rg^Rzpwm0F+?%s}? z)zv0;rrM^uHg0yB#@dcPZVukArVdtiUT!+327bXlYt~h7*|PrO!|UBWZIA08q_U!t z(!%dOx(v;5>*1yT=k2$jTyE>D9~gQvGTJfx{2|D&cmMK^j{3jU3{!3I-nYE}(E9pa z>&sUSz``^cM(=?P?Y%eJ`)@UMUk4o82kzglKUZ3lcJb;FJ4ds*^XDxUlTuaF5|@-% zymW!NwN+$NY$Pi_rI=45jkTq`Rm)j5wY-(Zuo4;7c`4;NbZ>$t;M2nlJf^G%x2}x% zj_N{U*cpvVLk(0j)5_18jG@5eg==ba*RSBLsAknxu$NbAIoo6Q>9{*VFhfP-g2a=M z2mm9tXSyoW^j?g}EH>N(u1sY!qh%S%L2U9{Bt1D_5W#0j8))N4Sj)npC31O@1zDt` zLX{}7GC#I7JEfY)cy?I#e znFO6cZO)AKATO_qyxDLeD>N&8x-orGHe?A6EYP+uAOr5>J75KXfI*KlVUPxw)ur6p za!v&~41j3}(4|xs(g-B$Wzs!1R9asboY8i)0~z4jn8p)ir!oUZpd>f7m>fvJ%chx? zX(VdFr(~ctTWGHevU8Nn$KM5k6%F+)yxkM?1W+J&!GH!mP)d%)t|-TtE>fM%F>thA zEJIHFD9Ffasi?_GNPY9wENyLFS9ce32J*N4Aj9qxJ9Zr2w&U=QPvZbCZ9B_bTOa)u8Q#2Y!~h@Oyl;6i@$}_n^Q*UQ&&L}EUpyQb zd(iRhCM5$#$Kbt3t(Wsk;(oul-^Si#;o?PN;?mFza1&B8;>xNj;jxk4QGqeSG)`?1 zCU5?R^}^L_Qp$zYO|WW2f;APiI52DiG=p$;72E;kK-Su7%xKQaavCk1Ap^A)Tqa)| z0s|LJ&bm6d2{3`Tsy1s)9pt*9ms@n6Afu{ynSce&3^x&1nmtpPbS5T7i-}?SOXzAy zXsfGPnuc@INsE>|UJOyZB2YqHPs7~DJ*^}^GKU+Q!vQe>83=}ynnL!fau^Cy7oxf( zZ+QhJ1I@gq5_-B!Qx%_8lb5ru)*~SXn!zzL6ssgA7ZnT?P&2*YNl7%Ox+8y06;UQk z)n!af%*VI_E)6f>mb<(dw=sE{_>xswOl1RA4(a8E)1x>yv$BvRCyKyEpoS}Bq%WbP z1v1c+-ZTOURRA}kVo8V*kV4X1BC|5+acia+J_}!xQ&@#UXbHTMnCwg-!XqwBQdb*f z&~dVd0)a%Kfd#_eqHM|p(156^3K40ena`gtZC5s}ktj(pq6C@R4%XsYY7l0|ZccI8 zq4=X-bA~znrD5spIVGR8T28a{e%BIl+)& z+y1RHdlNHcxcd0or(~cJNLui%`x7S2AmTsB@ag!J3>ef?P!%!wvTxu;&%pEU7q9xB zzvv#H=$U-o+uCw7E+IHRF$|0T+xPEm9i7CbWt`pJ+pcc%W>^@i<_aPwT*{c z?fSYc+cwnIn9@UnAstO;az z{_@Gh#PCmBikh1rOifKpO^q>~bby8KkKu*R_fy^Prn=s|?|^1t3`OJk`N>34E#O4W|qeB;JshIe>hh?QR`|`O=>S9j3h!@C?kIv7`T3w!ABZ?~Egy*v2 z^0V1hWf>JE@dd)9qFhq_fL2y77ey6iMHF&kO1X(u!ldfFB*21;X@x09&;DV8V}9}LDrxVS zw6db)V(5gz1W{g6Q317D^i0UinPdQms4phUM@qgk>YbFRHPumfvW56bD9VY+&!UkH z7z*dOV84ubtl;=V3E5ot@E}~1+Ga+&X2yC}7CO+n7G}owwq{Na1~yja&W^qjVft=% z3)JQHJso25xRFdrJ;CZK5=6!4R>quo%*kYx=HuDS+#PMa-E4z=&6r!q&_EMU7kw9d zmL`z}U^rrEX2_sY@pg{PxS{<6AeM-0{ezVUY2w2n^snUDghCjF-G z#k+y$Od>YEr1$Bm29TkDq65DRbd0_3ef5@8wDvVmqWx4^gEYgSck-La|rS@YrJyMFkow)@$m+Pduf4}WiIyV25m ztF8S`NB8~iXHRuR}QnD)j;F%px z9&RARQgJaARRw8j>7^jLw6vp_yH8xiGC_JmMP6!c5!OLcH640;WpPSvZhBpI+HzsW z@?63Ca>4ov?uwH1s(jL>fvIFj@8_(qPOKE9)Z}CU9d)9VsvKJC5MqN}naimr`2tu# zvzSs3G?*z|Uz@#QIrIiS;FrkwS+by)iCE=@Gh!R_5i>EdI2X$+W}IhYG_GXE)n?Ot z1dw66t88@vkQHAdh%MqLSL7xZVtSUOmy^_HlHJT0VRF{GP#BTNi7a5nm-4{6^xAx; zzC;-8HuF|GV|r67s$)ZkrMw#VkvIFla^Sbte|A5XA|sg>f_=X z7aAcXaS6H?yo{F$d6ry3%D}?zg=MDvU(VhFyv;Ms8qUuCyZ`RYmXdOVb{sCxmZvb# zcG{**(xjB6Z8$O8G6*cowwTOL%HcTNhB*y0$9BxjER!r*@Spp+WsSdfy5F^buIGBM zSFga5qWe7O-1zL9uiqCil4jkG8~yu79>j~_)kXx2!SRtvLAW^PsVPtgug!Yzh3Rk7 z*c#C|VwpaHlUP6$*>BAFz>{kr!+{Jz;{tfD<>;|v?!WiG=bnG@oe$oH`rdQ=ClH1; zyH@|OXWh3ytpzeLXV`U;I=8TzxKe!eR@wD}s@p(@@+N|Xs@4)h28vqxtFVQd=&kY% zy&WV2etfWrF%wuEm|$e!lt|o*Ct`KGnmX-uPEXs8Dm*@BVaQUw)p)xw zPnDeT<$`%nJ~d_Pl%R$47tEbIAIR|di!Z+R{=2Wf^X6-B|K;_!UI!YyG5y`Yd@vnH zSU!*e3nyR~Prdv+aP^7TUVQz7x1W9Wg*hM3UbQlN&HC?7pZnS4?ccL+^ZPUYylwk8 zon2)mWfv-|uU6GutEmMnX_taBI$KE!miKeCsue-hQQ* z29cp&fI~gQ0*(WoDlA3@TTgyRe@R2 zRK9jh$t2aWcLNY`&Vrq88l#tM!XhoP(W%l1b8Ms;aw#51<^zCSo@d8HqZk?3O~I`i znK)4upu0vt{J?k^@7ViBJ@SXg9v&Vr2J4b`NKaf0>ao3G9eS>!Jd;VKF80;N&c9qjKwj6c5n7chYl6UII;Dd52|qwjs>;SnPr zdtme^93LJx_R+wA;g3D~ACEoo@YJB!W>3efkxx<;7iLbzV!t#`BxJ$G30I3{(J%k? zjd7z!Pn|ev;?yaVpLr5Yb;LdQKKshc?|k^aF~>}uTMlf>-M1lk-==jxt>3VJGuD

bml@OygUBe>F<7q_phn?YvW93pI5&YU^({ zH03q66gIaNw{(=Zc2>06DuE2(4B!ClXJ~9J2Qqlwo&OXW2En1q&l!LWt-XanhU%7U z)h#yw3jl}W>Px3C?N0we{{5D$FPAPJ@!(?*Kl;eyPfVUPX%f`L+HAxR_c06>7f6BG#Az_0){A^XM{nWkEqwLs)REeRNS$0_ zlE;`8QJ_88l+{q&N-k}Xn4q{7P!?SNz*L~w3gy*LoQguACg#f+`LiD=Viwx;TS-B zZgem#6npnyKAHhR@%E=5zBc2XS7*Na#_ad8r@u7)tyezyJ5F!~3>Jn={s&Qe9y-{i zAIuFpZiSvw$mT>Wh1Qt*=a-&;?{9zn`=6iv^J{0vQgRKYaYk&u4F+BV;JK zkyn1ZuqMB>p{Sx6oS~GX5=?X6>EaJVQu;rBB%+VX%5wQVO0X3hL);pg)tA?#;^VaA&@VHRDO zH3hmrl9>{hqn4y4#iXYQl8}N0+YdJ-aAY&es=|>NMQUQGIU&>_$6a9fv1uCW)1sG! zsAHF_V|WHMyD6YDD1VZ>NpqM$IKku@#>5;ez=3AsWEgnP6z_4ou?o*&f~awhTb>dd zs-t3O{4LlHSPF&pwX(?6l-MjixB)jZ8Vo~F43QemnKcrFD%t?sr-c&$1fz zkp@LvYBG+oz#P3iOiLu6bBFx7KwN7K(C{yS309a!z9fOGu;pCN30w-*s@UBTk|L$f zEy?kqB0v&69!Ns?BdR|6@&1gi=47l%9b=?`3t&9XNavB- zL@az3oMYiJbZ(|n@x`K0G;%PflmkHip%NTfV8OLqmq>*e#^hyM3Z&vDb<@=)0+9Wm zi*uRqM6T%MP!x{b>J!L7HS)4(5NY-^j0+k+>FFl|gT_B_-|#8V{qb+J-c_gTw(j4& z?O-m}mc5(SZC?vm;Cu!~hGUnHpSg9GJdmX~^DFWStM7m|lr=46d;4c+YTG_dTkzW=hkiCzBTjjKjf}UHfbZ|aZ97Z zq7?E&r_UTYdv1B~iV2ef1A<2W{k=El%$+%X_Vm}^`THwxkyqi(Su+?Mpeb+;p77R( zGvAys>-BfueQWx=pD&u9k!@bTan*+0mEW(iPMtRXl|TKrOc8nR{Gt4Ub47*cYO1fb zwccrJ$!~2hZ11Eg7+CGy6`j3Rw!Uh+qo&`{;__Da^|i0ts3Xqs8-51A2a?j9hIkUx+hZ4-nvXrufavQuQzvSPj?(P=&;TC5eX88WMy9 zTrT<>kTxOlj<;k@S%!ui#$w_1>BU8s0%Qx`72X5(dVmHmej-+=CVr73oINDb zWR?lyJRfiBgGsql^i`DY46wBmf^E51xxFj5F+ZVB}%GL|tS>#FIzcV}X8P zLBEHkC;$i%8l|suzM7iH0xcIQqrpbRCrui}Pbmlkc|VAF2<{}b8)E|d95^$Rjh%u) zY1*Zxq=mW!tR+U(a!U$seyNc&hHzyWr-R}tTvf-DDcHBn*(}r@A}-?DS6&?T$fJ`* zt>wh09uF8FIQ;H=o_g^Gs0m%BVf(?Y;0&AhQ$EA$9czFLoX@~&f;j__;dWV`4;gBU z1TvJ>w1YEL4XL&K2Qokx{Fa*Vdmb1i{5RQk2{i%E(CcV)x?2Z4Z4PJi`Yo$xee(XM z9UGU0FMDgoyR+tg^5Oh>?|n4qy_xSAEy-Uln)TM(&wa6Q=B!WN``e87{yhDI*WUd* z(BSRavj7WN?|d}-?{hu`XP~mFk7j=Q`6sK_eDnSHSsfiUYuD#ozJ7f7-dsgOcx_#N zS^1SyC%0d}e!$jIP*;1Qy|b{htF*hfqN|SvZg%vP0~WgbYkTcg_WowKx5nx0%H3*i zX{q`xH8Io!>E{exy#>wP1waO<3Ce-2K2P}!O*e0soK|Oq?K`<`X=w1nkBuEUdK8d> zV-sV?j(vCb%vnpm_&7FXg-NyCNFF)R_)sHss3w>urzCULfkrK4j>Uc;P9I;}6T}CBNBD6B5BJ3Sy^1wrNxq=%^1CRn3 ziAznwJH#84TlL@r_^v{eA&63d;rLG>K43{&k{r88CE>13Sok&wQ4Js`1UNoHA;LhS z9A3qPX$Txd@d#HyfGto%trR(!LsXicn|b1d2kTJD7zC8)o`+Y65d*EbM>iLA`_sth zM~6K1;&VWTX`rW*CW12n8SeSRf4%hbpJvRNY05DEczFBHquZzod-vMadp80WIG=$% zkSDI41Ts*jQ^~FTih`n=!qWPZ^2RbCLse^ejpzgE_cQP$8s-eY<$?4=#857Q1!Mqi zU_XP4#$mU23g+PTcCY%{931+ER1qB-6|A*r6ZI*x=YOWNBsx6J)wNevuU6}gQ6bS^ zei6Lz!1#nRF?Cve=wO8~zYx|rvJv4cmnn}vEjzJFhi^) z6*4>uI1ri=hhw-#%Eu@@v`hlKBJn*iSH}@To?6Oi6@Vik10V>ngZHyIAv{bQA8SbA z7WbT+!~q>jAQ7Hnjuzp_umF_c{3X^4Tp`OQ%sLAvl*=kA%cNgf7bJsVs6y3BtVlh` z8{j~2SW|~1B^j4$8+tJZe*3f*lr1;3L-B~J4_`>jobAy-6nD^P-WlI)CgnjtM zeDH_&KKbb5MGHV1<}8|(^+PHcLTTakrt0D!w{CdO3^y1J8PWrzCuD;)zh1MWJ9yB^@s-Cy0~sOcN18*n!`oZvH6c6-OBEhZp?cfd)p z2~V}#Q{i^kP$8AK((5hdiI#v1r>nN#S>5X>@3xm>wb=6g+0j6T!m4v+4cCflF3nl| z*3Xv@1V=CXkGt<4IcEICNz;G~;{rxanlkZ|1@k^y^7;IjVEmS7&ZAispJR;6utZy_ z*nyfirm2AdF#otLGP-bUVyIaL%ZkkeDJ6;yI)R-uF~^*+(hM^sM_-y6dwpz%7Qg}= zz~Vj+oWCT^GKCxE@n9Mz3KPU`HGH?vM4SvI{TwwLkVgh@~IRjh`)=6;%G7V3}31_EMD|itNWM3aw ztZ-2YzDXVxN|}vfhz93ohvjy56*n~9tgOCtJO9|e z1FN_!l(NKHV}u#6DC_Po?y?uPb`>;r z-1gT^02#^~uLBu^U-o5ufaQ_33KKaxi@jo^4v4reI6S^ z!x1b=!2%zmEcy_g3T8+?D@u?~hH$_NF)D!!k!eaGLsTk}0dRZDRY*&bXTplrz6icm z#VM5dd9(9Dn2E2+gZLFC#AT#JS(Mny@e05ecC`U9DEYyvhe(f2HAk8BitJQ~j!;ty ze!2n~Gz<$I4&ZzV;W{9cfVttE{D;7|EWMB<1RA&wG9XJ!;ei)KMGPwHqLw1(AAfwR z0b3+iq+TWR11XLv&(MdcCCgM1&_q~(1wO`D67aIiQ(|GZl<}3Or7qT@=Lz>H5Zz$n zMT!NvL_&m10pP%1O-e);8iYI*!ZC|)07sl-!E#yqVBuT{D5~$EUhX1E+t(gnoG>iV z7;Dl0N>qg?MdxY-Fkvu!lfbWruNtq9Bi=dB!dRLTN8Pa!W4V#_vJ?fRgs81f;)y~L zRxL08Vbo}swH8S3^IkHB-ZD@8YX@}*SI7SH=BUf_| zj>ZPxNa9~Fe)$XwoM#&W221{YZCsi~V$msAS)^H%MF5z>*2X=GfeGy1#~%eKaX`WE z48(~vQ!mdpg23P|JOH{r&Z1IeXk=N2xO6T3Ink&DR)F6>tU#7-0%ykJHilsG@u?cY z85Ap$C9zhOJW~^60R&;MhmA{N?AU^rB~!OmYQ=0x0VXU;j>qEcVleq&9a%d2H$pY> zzyY8EAOa_h5-XBp@FJpDKLN-Ja(L3hMK)o9D%@r^iK{7jm*?JY*tnV4M~d%_TVZo+ zh!BA}cm;gJ{3YW(F#d>uP8{AI{=2#Dn{X-uYM=sQ7bZyo4nT$#dKFHVs1?5O#9)hE zf2A8!2u{F=9_-k|op5M$PS}cR|NV>~8Kz8{9267;WS~^xk7gKij6WXRzWvDNO=5tZ z7&)^5Azk z{31v}upm~?04*RxzpDk{08KIAYW0w&u(>_$E_WMWfrVm0^<#^-b(ghwfhqQ5XWLtE_w|+b z_gD7yl>;t%?NwfH>p*`|uPv{o`5J{Fy-f~R1CYV#X`@+Gu6CEVx~Hdg{U*IY2B(-e zQA@}`JsDbrs{t=k3uG8@l>ruMw&fFftrCvw8LAod5pQ zGj|UkF>+J@lpp@fg2s=XGHvn)pL{rL!DkDk;Z$&)p(V$@HCeIJO0C;6b)57FT_DRb zQqg6$fs&syRNxF)vTQZ905&NYV66;LIS;Qs<2$OgrnO3(%X0(c1aP3k{mq`f;#xFcj48VCoV0p3iEP!=pMmM2ND zeZz9eb24OeCyp^lAw=uQc)w>7uSxD!k^IRcEjZ)LpK;+w1{M*_K=`F%(|lna1`7OL zemXlpDQ2n_6Po1HwtR=i$z6Mc}tN$4U&;zXp06vXZSTT4AmF@m<4eOXT8k{^3X`j(ZI~1&)MV{ zfceAd+T7k|F>$`F-&uzv^gayMLt~~JfDZtNmbN?fjkijxPS-bGsc*Ve-+ZyTtuwQv((tC^(Ucbu{NSad*dcET3*-w;*Wp!r$G2puZ_vvO^*A+aK;yR>E|z&^E|~}3cnr! z2rx?c`2+bq2K5EEk6>^J8|2YKyZc$6DHg@V)(PKy!iK7)3s@uE&Dg-?p?QW@7hg!*KgXp5o*GZ37;AJ0JLFo1?B{puy%Q z+CUH?zyabyfIwqke+`bn1Q+!^XcJfgBGJNdA;!?ty?9t%!_BtVJ2qQUdwZU(Gtb^v z*xhlfss4OT_5N<#h2lGVJ3DSbAar#VLOXye3^*#m8Sr4cy+V{hVY_bu8zH}evjRec zvKiftPB*}zWx(4BWN>?MthL)ape8yy>%A_!D5t6c4nRtf&w*}|lL60lyK7wDS|Ewj zTh%vE)@8rb(Ocw4hVq6heq`8teEsT8*-!j&%3XKgJ9bRa<5Qo)|JS%tkB%8P`n@?b zK3q6=p)7)MAWh9_gu<(kf~_C0Kp6<6D>XE)3J-T1fAQYmx_%!6?f} z7RW$h3y269yFdnoM6bYh%z(h|1;2j}=8xwRjOgSb3^IU{UJ+|l#u$@$ToiDCu?RXP z%)q&q1SSv>3^Gg)@UpOL&TWVmqJV8VR4oB*fU*EMki#K4hUZ-SS}anwB&9^MKOxpk z`4apl{iAgm#6TPPCbI~RgmR<<=Y&OiWvJR$G!1ZI6@$OXM|K(rZa|5YqD>RISYi9@ zzF-PR=@3F+Fdz_C0J`-)#lc-G#Y*6bT3kiW;KQY(9E)Vn26x|LkEp+1)i>)_BL{1u zPWTZa%o%_T69Z{f)8xsMhu=Tq`9HnP$gpkyrcHa+uG#VJ+8=+|xMu@kVbj6wj0}e^ zQsZbwhU;ZlZu@3o7gF6sTTx9%Nqt8t7g7yH2LH6{p;W<768QYrtHagU?S1B>YFEa>RCBUC_>fQ44Cs|v`_ z)p-X3LHr4jmJYYKOAr;C*IN%{0B3-j@C@|0U4V-UfebBPw@tVmS_maT9;i_Ru&c@G zsk0AM_w-jVGKebd>waV?tiE{q%C2wLrak?~sl$igKk|{WPfmF%Xk0+x=*J!#_2~4E zWDy+D)lE}AAW>M z2CSfERm5bdq&aG?wWKYWgMA`12Udxv@FcqsF-RpY%@}D^hf{PQUV=>xd{v{0x9BAX zbwY+AmhuylV6$wt3=1%GTEf4_V8hFEi0tg3CAQ*l^wqB8?W8Wrk_dfg8#YIu7hLY32!5mfCwz_%%XA1&jE8(Pr$lNKqI4?i3ztOb zK@kn%$>bMVrixyq2kJZ_-P$rA@|S@_A~gA;nJO}*Gewks<>8AbE^d4(0He!P({KnB|&G5{7p8-Nc$23Wew-PYSzj}xo`m(TOyqPrTMlu8XI z-_AF&?BE-{)dQX;mj_r;<8)HLHL-)&cQjw^vE6bxid$Q*^!DTv+xJ$2GvKb?-V)FZ zAspygFl4X0+U=;cbrcHIZt-|KU7k*t*M^q`X8^GD_O`8AuW4ziayhzO0}a%q#a%Us z3^t-P?iN8F+Hnr-ass;sC_4hk(A2^G8LqRMsA#%zr|QDVOFw?K+8Q)z%mWWRG;Vaz zq`=AG3<0Aaoj7^IrwiwQy!4B?(aV>q<6-!rrbPKy7T7hkKy)e02YJ~kO9x4Z$K-92m+K*p@%k+vzuVWE0SYCGe9=*g7~oPyWqWh zSuz+!tk4>A1}l&mkO~UW2>Hf-NGfBo;!8^u$d<^=gtIjU#fXwI0spg0R56PaC5u&Y zJoDN=(~8{@{){r8$`COc3i?EZKsbyG444=+VRFy}nutAq{O|wk4==p>%DXeCo3jl2 zPwv`zX!8%-zFxik+b#QYHy_@Kwe8rh-KP#5zC>eaeADa7ujW_ZEUM2dZz`;8B}}Mm zFKOs3@waXHM?ZOi3`2977#zA?Ej{j59Q|m3bN|gYXMLx;3ETJJ511pIwbo4u0`(47n zA=E@ySM&F4k{cSz+-^$8>a^jxHhVvaP2cfj2RCh8Ur>7E=AEnij_f*qa{uoAxhucb z9y+$p>8J6zAr;NGx-g^VaO_)0QiGZ== z0>+J+G)r71J5QmY|CmxMn7q5@0+Z2%*}MhT>f&(LvyHr(WL4EahEccQ>s z#T#aS0+o`9Of5W~$5t|9$7k`kU1dirGi@5c2TU_1twUVZQyZoQi;!{v0vCzx zm{p(+aV8*MQmi3GYSzY@01L#jD6h#^UZE5YNd+4(x1SYFDo9+gWMH1aK_bpJXP+(C zn8W;Okd|mXh2zqscq)?8B`r@@1gm{R`j(64`Q&pDgCv3tiC-qhEz>GPO)1=sKg6iy zKG^J+;K+p}m4chx@+CMn3dk@vVBGkCz^M}_afjHu@4e@_*IxbLlMgkbZeq=j?>6jS zyY|O5)H{0Lx{U`nZaubj_vu}SFCRK{>*S@v^Vdo*UoW|OtNg~D+S{V1Q(<{aQDs|6 zReSNTrG$Sj6)^{Z!$9-U#fSkw=<~J%DA)L4qC z46cEC_Fd3M4|{hN*}5)JM@O>#HcEIFUJd~T4gL164Y{V~W<0&mZU=hUSmuDRugcT~L#^V8;3I&S;yx@r(M8l4z%+X^DgR#Tv zv0(o440EhS7oTe2c%mZH0*eMj05o9NoPofM8k`?Ifw?;D4lB+qkGCkLP(~(Ij6PYS zONle;r6!X+%>)vH*P+);H9*2(h3MD^qGs=haEekn7_LN%kIYr)B&rh31r~T zhdlWj8!@a>xcJom0eReyg~bI|QPD6voeXak?|DVC1mFP1g=eut5_AZ(Awn$;0o16K z5?xZXJ_&p&Bt_17jAGoLuQvsc)Ac(f8520-fH%X7lAS~;C@%&F<_7$~0O;fHk<=td zlL%yBNfILH0d>EmWUvI0s-Y6FK*3pYQNBX2pyObxD-KwMiZV>BicPea*4irm2W0Sr123LY#o>veDGfOHGY94sd>u!i2P4C; z!GU3c7cha>Zy^Kh9ab+Q2rW)~ZGT^ty|38Om+x{ExLr^awNM=W{grN410e%T03R}3 zB4luN`;fuiLdwF^1!U;yZeP1W-`ZMB-QK+2Zcn?L!N=RYb^EH^%_~)!q^9;}yQ8n) zX}@**>eA(Nx9<3+ySJjVyP&;0AGD#NfGvEyCaxi6V&iM3`4;OwhKPD9atso2_22_+JYy=h&m#Jf)Bw!)Tn#5HMoF~JU z?t2W3MOYA!!Jno};m#~QUJ%H@?Hc0JweoBu7L`Kaccqna%nl0#9^fELH^JUvwx9<9 z2OLF?fd;Supnyf=3a2D7uK;1dZVL&(4h7VZ9OE<1cw_jyTx7wOQw$XRxfl%b=>T_l+xYB2mBE&Ey117Z07he&Sr-*-M2N85s&|3%CztMQd?&M_Em0`EMb^ zP;eMJ$qu&fue|n$Ed45j^##iioH)F;{}~wuysduP;HMD2y9OT*(ShY4WFYPDbTqge z^#hJdr=!?2Q0R1&v6=ufh(?aS#|vaA>FU1x3uF+q0g9&H*4eUpowlQ+!RhD$Z4ikT z6q0bbDlT2!edy@glCn~d*Nf$Jy1ZWZk)wMzZ}}GQr`?u^)!25uzWF*RL}~Sf>c(p& zRp*bN-SpjB^Q5U`@4D-*M;{pt&HykUGx||*hFNnzW6ps8T*cQ`fCHEVZSHAm#VRxO z1$R6MwWwAY6F9>GX5#P7!c>9#iZCX?c5u!;oxmCJbk-L3R#N($Zva@J#0;y5v6@s^5fDw9 zM8E$fXz7uOe-H&arySU4&D zzjB6OBLl47Ux~@EFcca19N-|NM3)`H!Q1Ba&>HZxxxDRIG(J!(Qg!_ULt6W`!$k0O7l%5XY1|tJF z1CXJ+t8M)zqs`VN@)>%eCipvt1z zwZs|H)D)l>E&?i0%G5@ttCxYg3mjl41D7}A$l8HyUn}!v)(OKGJFGOys-mGbB6pfO zKWuq{GK%>*=L3iwW>s8j5_fJ0bEdRM^nv)eNRoJ5DIw?*Pz>8C9d8&q@s0Lpo6w--`w#Kl_QYI20DM9+Tkb%*FbwuYtvtLsTt);?wucr-*6$GOM`ycom zoB_zd%MT8W55I{FZr>vrLp)^Z9U_HNco7{f4ri+fdV)NZ_1g>Wy`?T^&HsrE9fQbF z*41@IAVZ(m+erhbJnbY*T%B%jUBA6+^S0E^PT-7PJ6z=9uF8XV#MfC z0aGVGF)?Vu*fEa<1O@>aW-a*q)5zsiBO{o9IQ!hvHNXUt16ex2LTGAIuqDy|lc1~~ z;ZooXA7BFe9=S6lOdi&RM~3KSln*H)WtA6nL)AUlF*7urWXM{WqLZd1 zu6JhN9Tvw9C}UY{zPO3IU}*fBj0s>1Ffl?2t6rLB1Ti2lrO0{40z{~?(~?(aK$ze< zkO3^3Im3z+5(x4vD~vq?G+vy`GA+ult(4^@z=4?(J}*9Ouv!&q)Z)RgCeBU-AV5?2 zg8PGJ%T`8h+(dMPx=ost5insLwT1vVaN+^3;3EJTq74avg%!%^IHQ^zrxvZ$s^b~- zz8?67l!b~|&=s(qV6_r&8%Oe%h!QG)W~o0B8eb8E11~>k0Eht=gtvh@M=e#!Kp6bU zz-od94~d*cES4LTBWq$c|jfaazA-=jt^(*KRtvm62i3>HR~IA+NHapt_*2wh-V@(oh6&04y~0)cpVO zK>9-x>~F+klE7$S_ja%o5*Kj}pun{ z-E`9B^4Nrzz~*)}+U;e|fztlI3im**(^2c_uN6T?n|O-N>+bcs%LOvDF){!*fDDif zj0_MFK!)~qh?L&m-lhRpYrmsGV2*9=+MMq0rhx%)2{)G00qAhnRu>fHp9UiJ_7?T@ z6t=eBAY`aMPn@CgdSU75Gncn#f2|%p?m<=)L4lJ3#*PDL7#A>p&gb*zEM5T405%95 zU_hYKiIpZSu!X1$4KQH^e#Y74WMERwHo_Ew1von#_+EY()fA+v;xpAahU=t|)yf>b zGD{~hCh*g#F-vMPV1a(pMg?USi5-n&h4Kst3bi8Bpv*Sm96xDUx{f>RsZVI!O)db~8n=~K~(hM_qn*?a! zCYI{kG;)&)0K|I+7vh);zkZZS$6GBAcw+g$(_8%E3HBUPvssZdzzI$w(+MIdi3`x! z`5;ZD`i>Y7549A8ftKjq1kONBss&HLpIfYq2R^Wz;V~9icpai-qN2^OCYB|~aBRZA z{C)K+bUL&-ci*OMhqiO36Gtr%T|9p3`q}e& zmoDA8db=V&zv>Q;9==XN`!C?>wXaN>@iHmJaCrES*!U7h+MC2*;ctq&a>1q&3kCX@Han%ht>xLkM zjk?4OWa#d`E|8&L^o{52N1q3>ueWpEMuYG`_K1k8P5hxQkEh3C)|HkN9XYzcxCCf$ zv!%Jdv9VH}9Mj%bG%!%p*_j8<0A#3Zyjos$uCn@4ee=!I%JVnw9Q<~TY5c^I_uqd% z$0qP!Mil@GLG`{>K@&e+xZsmzi|0u~@MGW{NF1Rlpd-SpNx|5Rvh~0O8f^EKNwLbz z0ZKLy?ySPDdnOV91Yc(WqY`(m(8R`Nq)4+gFoX!bEZ&+FV^S_pjKa3d1cSqN_({t% zH4qf|(K7E1)5w7X*knNx60(e{l@|Q4an3s+-~#tjD;1&H{fWmoQaM$+IzAmcewrdX zU7nQ&aKMHx_H->^0ki>Jg8d8t4IF_CUe||0TnB!qEg=q()C7Y8h)xBv%83 zkMKZ(Hn4+*{RsepWl7}a0D@7MOVLf2n1e`uW(C0|mYlcn3mw6^86hduDr#_k7Uh?6 z^cJtoea&$yVWTzEzltDjy6$$O(m8cZ7t=I5%Xuvcy8A0S3j8f%JlbNUA*Mu z6tzSqUv5p4xu|n&mEBp^+;Ov}@ltv1h3dvD^{uxGD$d+0+`D!|#?+}(hu`-gc-@q# z6UK}gHD+|+_z6KD&HL<=#b16d35^u#EAg2|Nvc+sofea3lBDY6G7Q4%lSRv>WZAds zCAz3!ql`%cr9D^^sbxX7mTD)hiLvR)SUBO%>g*Ea1VRoUa2jxgMJh>8jI;nf2wMaZ z)rRV+v7~&ZAyT;Jm=a3~G_jmsLe!+-0SWAZq$O&XI8`iMtE55?F`g1THN^$g z3jZ{j;A9!zGv#s76BD^dmcLsji$Q8|H<)qF=iDBj#$Jn#Py9`C$LWO$637i=CPu0> z3D#2g2CQXTnl{4pkJTl6p7FiS4PQR_$;Zc!9y-2#m7mlB~aqbdeq44_6vYYu;`5+8~ z$WT?&QG-=$tE}s)f~?@x*jw8S!qDH)=4@PHR}znE%iVKhrJnO!0D_MYNETXwb*96V|P^99W^d@i><4= z1y{Pu+dA`W8!ol9Ua@uE>gvwx=(^p|d=>c6(0Z+`nvfxP>#Ap;eR{<3henScJ$2f| z@#6!=2TsEO8j#`BB?}lC@EhZ9esLKVz=9}z)``5P6wxF$Im{ZjOdlPSrKau&7Mj5X zJ|N9X0Te`<6IeR{B^VsAIQf=qkp1NVRG?&*MOqch)G^D{RE|W$bE(^WN_>`9vC2rz zUMwmQi5Ni%pcgnxfyIIl>4Z7T90On>E?v)j0Qex!HY;*0SlIm)nHGQpl}|#do063o zh6HLZr-e4b;+9iPJaEFEcBVZ*2I___j_e4Hr-2k2U;>9oU~$-^nYZ)%}_ zfhJ#rO$u}H#x1Op9Vx6ku(&!5Z2*+#ivWrxb#Yr(=C?e)XtN=0ujv6yLFzBBm!_FgHw;tNG z>A(gc!7SljxvX%N_Ze!85yWojN9S$*7Ww}5i+>@{m4L(3lE;&?C9^# z-I~_f(F|k|$(i61jZQ~{NR95Gb86*O>!CPEo2UY-a(OHJT_u%ehnwn8x3^#F>ABV3 zakZ)WQfu4Qnuc>X@9fImn)CECkKcXQ@P{6HX!4XG(Ya;p#L1IBot&re+_iL)lS6| z^+Y5@-J;*`=kK0Ge87+ZT>xZ=Hc)*>NJ?~YaulEdci{-~5SlCnRlrR^ff9rV?+S0; zn1m}h;+!aiMJIu%*QqEOgRNfJEaVt?NHnEQN-2xdD37uzsd2sN5zUs)lj)f4h+35d z9?wCg5Mq81HJlNT`~`F1tO<6EaJ-eBtN2!dv^)$5$}7V3kG@arXo7xZaKI}A89tUQ zn;#iG<*6qEMvk61j(91~#|Df8GQ9ZOpQeBK0VBhfgByViYj>|%yJ!8n{aZI5-nsL{ z-hF2eAHMW6S78GgZk6B2uex1WTTt2v+E5A|QQcly+gT050Av6lH1*Z-0%2$sG0MSU zC9mdoM@xsZwZqwr)j7~&bG7;xZh|KaU4Dq@a<}~&8JwO@D2qOKn}4y^==XN~A~wOW z@IN3!Z%^TGAp^KXch4;nBSKA3(iFW^tB6rHI~_fY3~qiuxV`yIOT)>An&WLPXIq=j)z_bDXgFJ5ezdS+U+%WdC!d-! zeE9ui$BusH*(WAWoH%M^0FdF6&*y!%bRm!-TugK&kF(9ic@hd1+P> zwtMPMHaPH_CTJUFAPl@16R_}e1yEp@hh1~_GG`)js(-LfO8po`cNd@m$1;SMF9BBo z7rrKGqCz=NxZGnQOrRq&H0)|)d&G~HH&dR&>lYW;;Go21nZD>JY!Jv0FM2x+4c>8` z7nNV7YngJ#q^f0E241)*+P(opbiAv|GmOe?3yy<5XA(F*2)x2uqW)CS>7o?WSN3I2 z^tG=SMI1OnK>!?>HZUNFvWNtx4Y=ad7mynonuQTT^S+58aMEM6Q5I`Sz~T}%iCGb4 zQG^?%cyBx$hiX#9SUu)8kWS>Z5pe|ifFjqOJIC^%+o6jfVlZohy$u{;;odCFCAhiM zV7?ROcnpo&aN^25Y3S!sAyc1z637rVW*m?K=i>qc9=vz>uaRNhp0(@uZCtl^^Oi$9 ze>$;m|G6VThM%vWIh#+NTYwC{Os584;F6Jn34cd z4czX!p=!d947RQtR5(c)3*C$i%o#l1#_p~*znUP&g($eDS0K&+l0a4Af-tm;oQh`A zHny_6A1_$g*L9_#=2&g@(aQ3JMMeAW+}U&aa_)ue>$6rRj0<@5uDk9UJ(^}zO_(rY z%;*Wfg$ࢤ|l%{^FP#nkl4EQR^g>;_SQN#ky_bkUh=x+vrWw}~dUU`+&n;I;#z zdNG;X9k8IF>MdUlf|5l#&<01w3Y_@&V(!hN1h8aiL|?cRS(Xm`fhk0+7^=%@MXV_} z2EjGs(hM_QG*d5Ym_MjH=mE0@+++_Yd+eo|dfke28N#hvYe35hw@q*ZC`VE`sz z!TQ+Ifse*{6zl+@KF=oV5(Ca+>#PuRpUR=AHU`WWcV^6 zawswc292ll@qrKAb05?MkiojjG87p$9?0Fe`=21g#ghL!G5{0!$nxPIlWm3fptBz) z53$hx3u1sZlq6vB6+8L1bwA z1u}GX-}EDcsJQGPOLupJ57;_eepst(X{J7qE@vB+Z#yeRt);I&gTvX}*i=$hextRm zOh80qQ`?nZM_yOwrS{fyb#*`2)t#)YK2}-vb8X$};_@Rm3Uj|(oBYI6L61E0$e1ys zpe6zW#xpYfQ#HZGl8_IgDKsMkg(LBsq|zsvLuUyCgSBeIp)6MFpdh4K8ul=NEiiwe zkr2WU&x?xX>$n$igEZfS6A5$s}Z% z!4n`NglzZa9my%3Tgw!P`&n_vSFY})YEY`DP9#@@SrMs^m#1rh44nUrdm%U27s2wu zFC_pGfC4~7l$L@NLXr%&p5PW(I=MtojVYp_rzk}vF)lSpXS8~Yj7?_CFE*t~b^wu3u&9rvk;<2TNn zy>t0IkUF09KdZ7LMaTS}`t%Kc7;p`5|zTJ-m95geesuZdS%Z#{0Z)nfq){3L;W zj|>Yq;@*C*4U7V`fjtjHk-?7%{%8fqDgL)=qTgQPc2zo^)%|wST>+}WZ6i;D$LaM{ z3pHUA$k63S2IdTXy`393TL=9NU0xq6AP*#7r?&Reci&~MUcD+K!>G~7e^{N?(^pwg zbhy0w=c=0Hwe=?f2tb2d1$%B3?9DGZbou6wXRdsm{f%_;)X^hG-1o>M*pe8U0Z5TcQdR6^09(L0 zw~^->VeYfUxqs|)h_YzesV=f5<&w0d2#Y)_l?)%SK;0unhk+HMUkY_Q5gAh~K$v4N zUNI){4;WN|G8WSHpbg;`jU-hs&q<9H*OjX*oFf4=U}FJRu(tsxSe!%wlwjv%(kd$+ ziF4jhc}s=Gah!rAxgh}r0G19i0IP?Y<0kcy&?$MA1gnV%EqFdue;oKj3_wyR3sY0f z0_S{_C;<#mcpaHA03yI`LX%=8dg{^;p_Os#39g2S)2m{%$|!9@w7?MdWQvIxVLFhVOSuirT8FtB#Q_ROe|N$^Op%^;2bSZ7Z)8ERH7*#DG`|ea-Ez@ zX+T1_j%H}S$Ks@z&%%~Xd*-PLV*{{;BEx^b{Nj7F-cS9?`qQ!P+YaaYkzwop?b{FT z{PC#I1NrmKv!?|z3|3+1i=qi`0$J`4O#E6+blMxRx*SbC&eq<6wjO7Dx1-HwZ|Uf5 z=Tkw%UfqrsEbt0Hi(s086Ho=n4bX;PBZDvH$=k_m5ElN|9!N)j=`WChlAjqF z{ILmv3?g3H$;beP;OOt(x+AlvyWQ({3Ex74SRDh7)~3dS_3MqrMS1OQO&>xSIPSNimT_6is8o4`MH-!^gfaROp-CFcsC9rY5kx)GY5-?g26M>K69@=D zBp@P0=bI->a3Sg+S0u+QPm*w-XYRQaqEm_9)YN1WvPJo|3COTi9l`EL-~dzuw<%-J zz>~0OOrB^-&7JBA97JPDCcumgoS7V%s>6!UG*i7#sy6AHG^*sv(5qHjxLrI9pFNPc zNFGQ_3Ihc&fggq=9s?->&Hx4Bk5DjH;7d?sXaNNP1U})WDG@5uuPFC9OA>)e^bE9Xjm$WZL-_C}=>HJue$j10f_Kz8)i z0~xxV&HdEyq{rp$8}Rlzyxo-W?CxMdz)=Jmy72&qw`;)LGaycY11v6p21AhnFU82< zaQiTUTB(TTPi*+#MlB&3d;@BKp(Y3!>g@dmj0|}0ATo4NqkC_Iz0bB~dxk&;uhZVn zu?e@U5f3$fPMtOPvpJzlzmP|POyW10^j&)N zN(1lsux=a^zc#79F>@;8a&>gHH6dY@0hSAnD$O)w``Y=mEWSS~EBRSMe12n^}N=TU4OmcF@Wq^Amgd3&G9BsHRF3c#2 zvdBVov3NCbT9Kw`2+<@(q*L}dvWUPFSy@o8du^gT&A^i)qxDI+nUF!j4#*#-M))|~ zIW{CYhK!xY;mNoWoamTDy<}spzC;2Ze#gm>lqn7NA|jjNFnP*>OlC$w`CtYm)P%2{ zi}2ELSp-*VV=>53Jk+dEt<>>Q%WyT#=!`Sd+g_0vkDEMHi+6lV-ZmG-HH;@~rTG&FNJoiMKmck2 z$N;twG<7nLK!&GYeBtl2rdz&B-En05hJEYT?OV5c*V?uFbJy?7%{{bj$IrVsJNo4H zQ-j&jw+gEBit6rAMO9lxb$cZiPHNiA>pCk3M_bm3(YN)j_J-D;hVGucE^l+r&7#=j zRo3&Z#*?+l7rL^}x5}?n-RZS^9rg8&nwV2pbk};aFE&YTRIR(>O26t#hq$-*+q@NC zTXCDWsc)bcJiOQ23hCbO@_PHA5e9y&Xi`@>_uX)>Ebm)c*R#30Kewv2jfOtlvU_`W z=a(Gq^Pcv4FZa3cIQp+T-3Pk7Mg8O-EOYi3_S@^ID$+@nmA!f1o{DyFNvF5i>nt4b zHsd7<+r0_Lk1blAJ7e4N#BHapb#?W69bV5swWq^NFw*Vy+FjIDzIFSL=>r4ZeYW1_ zhVp@d8d4KfdC`94$of9}9WPf}db}>@03*YZW4TT@6iS}UT~f&>Znj(CfxWk^ew z=jaqG^-u@=`-hpsx<%50Jjy~j42%q%3`6AupsAv@i!@tDam>_Y5QY_+SmqK~IN@^! z96&+*N*#_sgNW3`6$UAe!A4nxIe`b{@m~ZEP-3KB7L%4BNd;-)Oh0ld#8~x_Ms1kY zAjz~!GA%I~7BB>=W-_Ws7l=w~>L5L+6u9nEmJNtAV#%}3$=_yTlU1!u1uMYgu?R^r zbnJ)Z+Gw;$%Mh0%o8)Yj+>i2aL)&S$4ERFUxnz{sqs(iW_`gtjiVSG zs^E4{+^8St3zaeRB33-{$7eWd3B@}3$;T&5n)vYW`=5FFB_M$IPa|G4Gw=8Xq8 zQvLPeZ9KYe=ZW3>&K^8`>DZ|or!N#-yi$DicEwGOT9z~v4F!jWu4=%7m|o|@1dce^ zoG>Tvfug#ROTPNo&$j&Mob@B-Zv4+Lw%+sUH^XLrpS%ZqtGBGPdHnL6f0@1R;RT!i z5WM{lv)A79*|z%@tl4;{3+CSkH0W<{v$wjv?O+;>4se5hue1G5tL=@Hb;Fh(964|A zu!TE*w`Bj_3wBJ2-f*pksv3T{+#IxQ)#$i=4@LbnF=q3t`rV@<);<`wXSCtqn52DA z>(5M69D5=0u=Yqrem4jV5TerATQ%UVwRPTfd%M*TwuFZxux3Yz+bn&3?ZW&iYUyxPP-|;@-R@oAiEdHu{yw{?wFGbI zFDbpUd+!fG59dG$NCJ+nZIsLaZ~%;)IlJ-d?H%8(Ha-2!bcmZct}w{hzfF-f(RP3`_e9k;kO80s zs1aq$Vqm>!x)Ns5MWz{}(@b$0CZ4Q~r$7rxvkjDnA%5Omc|i^YJRpraVDlJmdfQeF zID<0V0!#pmBz|R8uCjnIupbiVDPL!RQ7AG^?A+6Qn}s991qE(sI2X;u^Lav&V_~!Y zSyb#);rNB|`E2Jd8KbpWf z=ipRO6D)ZsC(7(=g)JhOvM>#GeC4$?CC--K#^cl%CC1JTUk)`9IBLw4@#N*Etf!#y58gZc*;ihEYsS0a47*S4 z+IDp7h65Yc?pdFEbjPNHTelq9@#D!o`_COZdgbTSH_u$WbLncyHI7X%Z76Fh@#ixD z6Mzg14nDRZz@e$jj{P@u?bTr)@BEjLqW@><&3|2T?%yJ>{XX*Su$h-$HZ>Hu$!#}3 z=fbdg$Nn?o_J2m+85Vr{4_hn$JyTMr5Y=8R=7Jo6qoEvJ)S>o zcGkbgmHtQ6m0{7B|0Skk*y5wpzdF$=I<>uKF8JN&`-TNy85VhaSm^m-D^3oJxH>Gp zWLV6(Vd1BSEj>GI*|lL|`NI|+elRpwcb)u=ozM%t4PIy4>9(fllhW3db?h5(*y1p1OYv}6Yd}^b-;sgH?$?T7iqu6wB2y!8&PlDpg{J8st2wjr|6`zN4c5fNwCpaGpz2 zX`uEHWJur)-UzEU%&d;GXqgE_TWKtX@~bo&tj3`hE0}``!~h5Y+ljEM0S@swW*|et zs#K^U<*HOXAYrAInKOGbxf~nk0F5}SmL)vS)!$_LvLdszJV8bxD!HgqLwF#$ed}PI zwXdnQlu{k28G^rffxFj6Y7>A1@^m$}e((ew$uE&jMQ0!kks28VFHDKtS(^Q^+zgWk zYl;xCg2BO`4h`bRO)c<-IXjwjAw-3EBG2DjdP0ShA z>{&yj>ke(@T1#*SMh4~#*GjM7EV~ZcaHl4}xW15)VX&gAikF``VBw_4Q&;TrObq*B z*s>GDB8&fBUNLOR@nMp)!{V;|F1Tdaf`i&?RlVMUqcvS4WCw3%-c($(Q@u{&zoy)CWI7F$n?$J^HHsj2e1Uo{^Xw*374u?558kNu0{+P_5> z|Lclfxn)g|8V3t1|7+>---liP*U0=~(WSqOD;pMm^Z!+p4~xvh`R`)#{!MmcSj^R7 zQ8)fQv0~Vw6T?3K>3C6djo0Pvt@1j(v%mi-{_xdWulKL(bB`A{;zRvazb><+ec_%9 zlEVdFSG~hS$&hY$uiIPK-QBc)lOD(bq2Y10iNHjCZ%-vqqN%aKU?jN%diZZILT>^00Afq4oA`?N}h`7PjM8`fqS_Q zD>h3nU-|zr_7+fGG++Gi&>ezw!X8tE zYZIqZ6aMoINlt2!A;7|(zs`gcHh94J_~&)PP7im9c7oh^_b}lh4gU-W!#epf9f|@p zIC%4N(&bapAf*%D3*#@HAUzWTn*-wmGT=4|*UrNE#J_NeAT;0Sf!BmmgKGh01NnJ5snZ0Nec&Z6*fQwAcODTxSbI}aw81ERe{sfc2#JerEUnn>M@{1(ui)%x^uR^MN2+t=!N3s6VqSLVnq_A;p~g+EIK9O6ovS705r6BlI=$EkePC4Dsa*DRn5R zfhE44-6xaQ8=If+!JSdB4ioajL{Cp?d_sV=jnTPthYlZ3eERGL zZXmt%mAcqFM{Nf{03`yXg^J3j-95Q8v!6bHDQM|@cjx|jb#-;V^}D>H`e{@WW+^y%lpXr0TLX@_`Yi=NfX39SB?|lkW5SxCdHgd{v$Jx z_6GRcYGMHeIq`^ZXHO^>q}fC2^;6I@NwY(8?<&%bIlg7m$$h&o9Rs14?8%@AlU^F2 zqY}#lQ~#_`Ah&KL+$Q5*(?NaMckMi|eC)-O5oeAhTt2-I6oG3OK%9s_e24w)^kX34fge^Z>~LvH+eOx^?B~?Q3uqDCIvdaGaSXT|k^V_-BW42uWBYU0#!$ zP~avF_~z#LN?6julXPtdj{t3pueZX{;2>#YN}7X`-+Bl$$luz;e_K@S!KXvdANqp~ z8xjo6s~tt{m6oHn?MEv6BLlrSYV(nS}6 zoKF+@9!2D`gl4_%US7h6A2+wK_-8Ulm(WI*aqMnojc=oI&*eE=GBAxTEiFtf{Tx~t zox|p+Ys0{VrYBz_zqcr=iz%!G9cpCW-;F$89;+Azj;*0$LSeU2L>XOtEkkk>N@+)t z)hO`2+q17vzhV!2R<<6?vR8-{V;-gUH6cy!_l$2yCN@|L#e~=;kFmBunohRUA3aJZ_;}JOtNv@V6 z3iNV-&Npa_sLr?4tIi3=N8@lYlZKsK`j1(;Z7D%f|2w|XsGf*J(>feqQ ziII!k?gVH5<4NHsl0%N}CbbX9g8OVggIoTG98MyZ1^wPE5>yhv9FV0WjwTYDJL7KU zVxf9}os9)`;IFfLZ(M>f`rI*cL(Ierr;;z7Iq~FH^7V6jZkz|nA@$}Zz=V_k{&VT& zzid222e;h-Rh)tS} zk?UAV7jvX%OL9F0fDgG?JB08x{pZ^RAOpw@6eR_DDH&B97Zd>*M8(B~c=1)(J6s(u z-}&o(>AST2mrt^vJb3%?Vb*gH7)Y5Rt17pkp_r5z8b3FIHbEi-$P7UL#|Coh8#%)O zL{36ZE|1P)6V3BW646i5t|}Bz&kdTse*sNYBV$w@ePk^P$YzWFggh(5GX@t3zsv^5 zKcJ8g^nSI>VV_W75mR(63NJoUh0p0@i=zXxgTre84zuN>3!J|9QCKByR6Tv%2b5e3 zstWhs7q!$CP$l-&^w1`}r%P((Ol?Eas{>XG8SM*Mk_g<58CP>uM-v z0mC<&_%j0uGQ;dF{>@}*5yXoAm6g8brJ=QzNer7>TI^q08zM{}Cx|^VCKi_Z7nb|h zu8pRXOBjl zJ`Bi!)7j%Vq5Dpx|j^eEvb_{pD7k-`S<*x^tz07%TSeGmp5P7XSnvg^QZ?|pHB zNA^HG_}E_1fXMA`K|2UMkPxsx9<(hu1M#ra2mB5v`5xR2Z^wIoJjCIp1RhI)_Jm48 zvy+VvZwJmmRs9bok(*YNTl7L4?g+Q=Ik-FM#J-SI`~8k2dml*fg%=Hl9N$Yick(-! z2ZRpsZ`my|YDRJYePeQs^(Yi;|{-Z{|GJJe13 zfdotdD43i3{F(aW$LjR2U;PWyonxy#oipFZ*D&b=Z%}X^Yj7)_&qtcw9~ojwX}$7M zL_TXsBZ|o5ifN~}Z9_i!;T1g~pilf5=Zb%Yyx%c~)}ydehTRP)ppwo1&0QF5m#C9e zDvZK4U%v7LJw-v4?9tV9p=I=e8MNW`$UChJxNvp#YD+&#%wi7dL81Aq`#v)5DM0S| z^d1@Mz4ME|KO25unr|P)X62(!aD*1q2XxUmW%KO3k1Sqqhna$y{+iS4HJH0)HcG|EYxRsdTQ+6zbD7X2LJmuF+{AWn!whk zR#!#{FD^q=>KDQSYMk(C4U`UezQUhBOK~M)s%d1ZseioT`*>qxZ$U+4=BAqvs}L6)$+yF*hF zAOZ<|;v)Q@gk;<#CLk%+KQRVEC?C=U!C^uaSw19&@?#J0gR8sXWKwLzfjvNw3$oz% zI+PN5cyIW@J#cpj$wNRk=&R(e&G;vb(}!_8rQ-*q4($cTB%I72hBPt9_5;PAJd}9m z={L%do#vMsbI(ZmEvK{dCM@RNX z9^HrAA09sdd7-w^hxg%-dteVV3p8N#{yhM~5GEZt5Px)E;)w$Z$5NvXK%*ss6aik0XfD(Lse0nAZ=604>?q2#(o(ahC zvf$a%oF|X6p8zrdrI&mlkpcJ5&|cTr+XBe&>3bWA45a&L5*a3`z29g1e^P&qP4rW# zy#q5Hzc8${?-!rPJ=TEY_2C_iLB%M(5P7{7INXMk(pe(vP-F>hPz_g5FY?IJyzmk9 zbKpAP=k3V-5nXg03NA*Wr6{lzd8O@q`W1M3Y-(f~qh86XW$=8&6w^W%QVt0?VoQ;8 zw$+W#KM4=5TTi`5A%)1VlsTaXxjklsJNgyLCl_?#S8=<5S}auW~1sNUMZNeEX`E zk(HHcazz!14FCCO7$(GqiN$$x|INX9>UYpGMut1)sr`g?n!EEKJpWrsLync5jUuZE$N(=5kU>mDR2qI185wyw6)g>o zjk+6*Hf^@tqGg~Dq^_@{qN4?*rl+l{yFpo7Q%^@%OG6Xiz*JsA3I79- zO^qN9CBUJMkpWx<+Gu8Mu+`MiVhewZY6#dyA2-xyhz&TMcb2%^YkjT~!AY>I8{hJl)-WyZSED0@%0?Jf?^ejYo6e1IV0w9DPa-xDJC z-mb3xKF+(mb_VY93<>ZE_9w~3&)X|B5F%~?zHrsS+a2mak^_XEZjRpWa0>{@2%NO@ zad+6|=@RI>GsNF5*v~n@2go&O7t{f20%>*z_L=H=BDlY3$R-^kF1?}d#cL;q~o(9F-_>G6^Ifyt$B-$y$^wGO+Li9FL#OeKn_ zp^d0S@m2J}g{K>_z;|uP?>%!w74k1(-`z^*UqB!5^k&aIuy*#B1$Mt1AmpQn3fkZT z#@H&_(0rlj;+pBTMQnB$TQNELp4KOWC!vKVtcEtGh9$NUdAz^V4*JGI_K)u@UeDO$ z`{<$z=tJs}+cTEHw@g9#ddI4oapUHt@wM4OZ2Ea)xBHc9<5^dY8w3&3EFC-Iit-v89fc(U0Hy3VS=h5B0Cj{lZpf=H`aSRwl-=<^N=ck$LLW z(-#-XbrTEJ;k7kFDj-%~05W{}Qg-%SYDlQZ(WA-d&L1o)&Hz;D{#-OO-;UvuL&w-; zW8cs6A44_Yhw6U*YHjW=Z0N|j`{Xjn3?wpWXlN-bE2^p~s3|FfRv{%JDIy{wC@9D; zD8MVgzm9J`Kk);QUr+oXLLLgQ=NIHzFR+dmNQjqDgik<}Ul53wZ#@qW4=*n-BopKp z;9t)tz`GuZkD&E%WgQPm5Qj4y92{I+Ti|DU&q4ekJ=_j%!OO)Bp%5QG-#Q)$B}K)=g+)Ngm88f>$ViKUdMhOf5lLw&F$r-o zIT=w|X%ULl9}<$55R?)ZmXZA5lW+*q$N%RFzLi zP)J0WBxq9L4slU2fL{?Q2@wf#K~WJPQE@R)y774)5fP{o#G!7u5wV=C96o6$4SC_J zn3$Lp@e}^<2hYwcBp@IrA|xRuC@u=2sI(-M3~?w&L`)Ql0+7cGmy`e!7RB2E(m+bc z#U~;J#4jog1cE&@CcJT|1OCd0h=72AkeH|dR9;L}Tv`em4eAVW2;o5_BqSh>IPrr+ zs2D^fB_$yOAzXzBus_71aJU2&24n!q0R#qZZEeT`cLAv1=45^K!PSrD?_T6Sds%=_ zH9RkP_5TwY{>Thp7kYk84NOdqj;@RhE`A-OjyFwW;-MEAqwCSWMif;_6IG6U-fAWm zbWCDp-#(*V|E>$GK~dExCXX|+4DBj#ecd-mFx%FPZ%}wT)9yy*&_c#I$XJD(@{^1D zrm)qUWld<;Q@Y?%){qJmQIBG)kyoAS$%^&`Yz|xSd6I_$3b|wZP((Ika2`+STee;4 z$m1Q2>+|g=N`kMIIiJeewEKyO`!z<#JIMDVif%>;y(q8&S)_}^rq_Y;gMUgGm|ggW z5mNyR3tzD11)@?g`j4z)LkqaU6?GDu$M?Zmoms^O=Vm9KJijnAJ&vs`<14SRp{1q1 zReZzlnVf=e|{in$jHc$-2>7K3kpeyhyquN2?+yGNC=6@ib}|fOG%50OA3nu!5MKuVF<}X zND1j7F>o=&rNqQZj+T|cr>$gwbwtD<8H7**kl=bgxJp(7QvS&TKj?=LLeGS*2ob0i zbWV627^+nM`>Cm^sj90ftE$K;DatA;0Ld#W;UbNiDufVMP*Dbg2oR(JBI8oB6hN3i zxgY|Ea1ts>E*An)l#x}HS5%gjr-)0+NJ&$qWq@R*q=De7f;5G+5XOo4;S)Tvax(a~ zqT;|xa0WuMJ%Nv)+RE^gSHN8^gFH@=g=Fv+pz%coh2R-Uek55EazO~sE-EYnuK*xO zQe1)zp$^iJMU-eGF)@;(Atjj+%7n&;288y62)qlp1%$ZYU`QnS_K=;BcS-1k`wyHh*C5_pHz zvjIkb`p9A~KnC}RacS)n_-NWIbNmC{&bKUkKB1^g_LwphSkJLLI|~>KTewo&i~Mdf z#8fhd7So00qNp0Qvrhd&S>r0Ua}en*eD6kO7w& z02%&|=az|;rSa^nC-2|iT3nhVy~<Kzw0?NJ z<>zqIuj!ty&lR2D${wX%msOTRGzdBlXcMZc$||bzz%n5C!HdH?r=%3wX+eaLm7$P5 zoa|uGLx6D60(ytI7)cN(5Ah)eI2}4042}YVK)}?%&NyEa-H8MZG6FpcM+0%ZGsBn* z{rOK`yl)e|6d&}3gh|{W2k;0%tu(Np3^c40Re!2fg^Cvq5yD6lLY7Cs+=T_4`Z04W(qHD6%qg6lqy9qA0JV zqNFNEZs4Mzs05>~3m^kf9`LI`p43^Y4DtfHbKM6|TDV7LLP z4**Hu)WqK1`S!EBxz#yuieA4gc!@8;E=+%2^bRPqEQ@s8Qr28q+u6|koVu752q|Qx(HUZ)4;sT%nv5z)B&#<&Gnvs5Y zW#v1$2h9rpL3MRyb?(czrVlv}R23FCYTLsT#0_rn0K0hWZ9A4J}O-HB}f};XOhJ2A%@3mK^3F0#YsrsHCI{ zXW$Sb_|yapo#ap`FGmWoq+kpK89t=J;4Y3!nB;&?4sGNxhs((_()edA;AIII0-*~^ zkuop{oVW!3-w(2oQo$b~`A-&7{QfPOOG-cl2qbD;gq9G8ZizpUhB`2{f|`oFhN`TF zij11lA7uC=CyhKyyJ=p>A@- z4;LN?jFN|33JRn=@Ed!jq=E5)wdE-CN(#!V%4z@z%8DuwSHdAp84w#dixdx_@c&vB z+EJRs2gnFSq6FEVi@);KZFB?j0`ELkToZNr1XM=8}TfE-WPdm@+2wb zz!@?mB@nVS68)ggP}VjN$8O|GT-KGpkuerRZRWNvC; zW^v+O->5*?1GK9U1O^mWh(e2Ld;oSpiNDHK&oq@2n?C_GWUXL=NqNj+E%ZTUC@LT4 zcaM)!`>Q(Vr+#7B!HjmM(Dx{&o-r_wC9Dv|H6ZUi)`SMS@NBxMViZ(G6H-g(myLWr z_`doyjavpRX&%l)5mmJDWi+vH(`FRg#unAd9a_f{nuk2nkbN3=LNnUafWk}JT(r>DjqJ~=xzIr`rWB&b9K#P`aX%#3@4x7amo4O?DbC1zL`N5;O? zHfBMZiN%hwnU;a^1`rrVC)x*xTW6_#pL?r{YhFD|zqQFkmw}0qnT3OgXFWgvdJ$26 z&_l&3zm;*4F+tgccMWPOXrmAcONxUUORA`#u99QTZ(SaSa?q*;1VOt8{db)JAEW{O z6pF&XVL_50Baa|I5JYe-m`DJ*APt_3 z$O0jxgftM6`Zd&zRGCRFgRBOrp}--GXdp=7(+jdPVsbKK3KR)tc?mT|adk!9Fi%;L zqND&MqbN^Ch`1~a{8Gg53`4c7vOL7a0FC5jp%BOdiD4K9!eH<82}lKa1&jl2i_biWiIO}BB9M@PAcVkGz<&}1q)Gz^OH<$s5Ii-h z$iOY|NfL?-6fS_zKS=%|KwJ?KF=3FWghiq8p?#onpl)~th!+Q;`2YOG{^tjkhZ;f% zHzEZ;vc&*bN%)1@3c@9^-!mAH0GP_?IE45NkAws{{RlV@ zJ&Kd~!J(mr`A$Ed#~Dvcn@c`azJF7k@g(cTD*_fkm3Uv7n^#v{++0!lsiwZW>C?9k zP$m9vWEh_9AD;d36Pp;EAEA!@SXri?DX2w0w`e0iarorWMHjI|G|_rD37%;7$!`sQ z^fvr?)$TXNobj(2{TsOgo6+tvnv_b;s9Lo1!^^IYDGbZ&USSSPN6~dGfrXr5c__Yw zCAbL%wxhUu*617*_X&kJtqU(;j3~c1JhrlgJ;-cAp0`na1Bxs~5qZo>ohUgA1!tPw zAJV*7#}V|H%_WWA?IT-aJ=$G~+%nerzq`@c=A2a3Z~^&GY|i%pGFzpW5ETrbTJU~9A3;tVkZIY-J2zvmfNXNif&5$YU>4YLc> zk=&feUENu0zom;+{J*@?*VozFRWZ-9~k{KG}=Bg-aROo)ya6b)uZCKfs-Mno@w=y(tv8=_;P zqi3XNU}0cnr(@)#Wn9n0$HdLW$j3v+&cZ>@z)R1>LW7uC=sCH$*x1;(**W;RdH6ZF zIha^jn3$n6u&}W*(9yFqv+!}P z9pD@zJQrf5M@;mHg#j@$&@wYZkDx(B-+-$$w2%g>O2a^p=xNz#8Q@;f)a-1~4vdWW zE24#0#Kz18#LB{nAQ2q{9TPM3F%Y-ZH|qq&hrP34e{kmK=tBSJ>EYoyd`tS?44SYa_OL1x zT#7Gv3~Qu~@1%`tL>?cI`zvM`#N8e-ClmwoqL@4smctZT!4gu7T#BXtYMjJ9s!TYX z`G`*iq%`wKlruzCpqNiQu>dj!D5!)hx(WqVpzuoLo2{VogRHN9E{i54mm|Io#pW;{ zs6x>d$gff=HDe81{WkMcB>E9@%|Q_*Ea6qGzL}tmAe-0cdqK9xXBM#OuZwdni;K87 z*X7mrm9=(|L9kUofT6XeuY_&p_}p9=wod=T5Ud-Q_{STQ6TZGz%gJ?hk6Uy{$PbD-9A%&a?wEj8i+t<~m2G+J25U z4~~BNHPt&Y{aHX!h+j@*lckBHm#4e0uaTLlx~7`7jir^9m8GS*?nbQ*8`Wi1C}Pt1 zSfHw=C8#PVtf?rVrO2gD;ZT+4QKbl~%L}W@Q#8~B)fM@*RrodJB~=vU)Rn|kWCgY4 zIaQb;w^o#qRa902K>|i( z1!;<=0xtJb)Rl2jM@C9ifg-F(5tNq}k(0*f6J&7N8`pzCppwRgE07e|q9QQ(!lS|HOiDxoLV~}CW-&27@!wx7Nac$horPs^ zT})UQPl-!bV#53qqBw~PLkWF`G!JV7TZ(%mG22g&`#mFW)*IfpxrM>jlJl1w^^mlOIO} z`2|Q(M*yTmkOZMF!eUS+H~wL19SDjd#2kkhwc9)=-B7FM7e7#l5BIEg=&^C3pfJz- z4{zMKec{}tg9nbr961zs{zB@Z<8kr(f+JHxqV~tSgzd8P_b~|f&<}Cj6z0A;!c#xc zMay%Cw#N=bKNmee7j<6;wID}Te|uejCxak2Eq_P+FxW{cz+O4fK`YEvE67>Z%SLVI z_6_bfa*kURoXr*7ES221sd-qdx>~6^S!lX!+vw}4?Pz7_Y-8%_sOexKYi*>s!&J?7 zi<*s@hU+$ikexb#&Z=(PfK;HU5NAyN~Ae?9J5d&9t2@b(}199Lx>vZ8q9& z)37vEGB;A+zD3i1beUwb8~xXZw~7+s!n#o2pnEY1-g%t!<{7Rwf#j#y}8M z5jUW- zZZx(sH?rJnw9R~zxv_fF4BqSDri%8r`auDYf#&7evEERfcZq-O?lITawo_~hXD;*YWA-f3)U&$Bw@ z@|-rNfhMAmF0_O`w1_F96b0dP4z#h2D7qenma|3lBCk3W)Qmz~>0-N3R11ozrH`mY zE;+96+vbU(mvT4_dFP?n7P`0^#;7{FkU|t$!4O@;5K)hU8>IJ^d|$<R-v=J4^w_Z3U|I-3CiH$8|)a$ve$nh3UR5yL%XB1OR>-7$~rg8c`eK$pz zMXvTuF0|sGAXf$_ry3_F8etf3FlqEiew!dxT=vh*eeV5IU00vm(_1$)`yIpAQ2d;lYnfX5{A<1&XkxBw zc%pTDs(ohu%k09}naTQ|&g{E)4p&sX&M$oWI`jI+f_o2NoxSl-%8Qq0|GBq6`G~(; zxb60U9a>IiI_}nneop#cwpy-M>JD2Jtqo-@H_BOUf(XTVtF#MFlJ+Ljwx$$YGpQXW z62R9xt%SF2T)$OYe1{>$)&#ni!j?@qD{V8=ve^P%UBX01(o`2Zt%TtQ=-$#>^yRj0 z(g7ZH+o57(DsHSTV!Q!D+09xqhFS_+^<_+T1jfDRWi^~-Ke8uvRT)7v!0;=h(?CSMrIb~8_kUM zEKD`F7*dRNWw&fpurk!Lw*VgBU}dUqwt2%=BY*;k16%-%=vtcUTbdbeGuJgW)N-_h zl)%xzzrg7bLgguD`oP*aJ6oB+(`i_nDQ`1Ywl)EXqZsMPn&>H5Y=%SC9cHT5rm9xP zs+LBI+l_$$`Tz>lx0?dCY;@WVaHQvE0|*H<(X+MC-C+)p1sJ03yv^8ohmn(wsk7~7 zhwXqi8vv19t+iaYLkW-ounW!uG(nxAaAgZayn5DV(4K${A_f}3*IG_i3fqiSEKO9c zx2RZeQL;8uurX7%Ggo)ors2FDNXgz@+QvlE&RE9LOvcef&cQ^%!Boa!tAeA2wuhaL zyPe{8Q@QOXs!mqQF5BSIfK+X_K!X^1I+%GmnR_^G_jUtVHgU4s=Ha}<-DSIrow@z? ztq$9_IBm0V+p*ol-rCc_!qwW=$H^_k!!O2v|Jef%-u(OE&HeO(HvkJj?<+sPEr0*E z;w`{Jc5Qw^Q%TvU%Bs%VhTg`OzSj2sjz1gFkTL^_3`4U6plHu5ejQ%w{6wA8hmOdpbiywZ^0d*uI-HntK4Wg&k!lZkxZBcFWaSHT+BiFOsU1s5{} zbg&)x2(kbbqaH18qH%q?Zr?W)Q^6Eo&KO-(1v%>?jJe_lb$@w23a;u3Wu5us3F`+QhXZnppvkvNwaIovTl%N(V}qaE3vEMhYXt1^cqrZ z+H&053VgcCBAYe&3{-e@6?k>zIn|_iwB>|1tMO|q@N3D@sfn>@N%QL~aj8nN$_cY7 zh%zb&Gpk9ks7e8`smt)_DhV5D@$0E@s>yJv%CIPkGs=muDM~QOif|}PG0Ta<8G4Ek zhk^vNj4+G57*v206eVS;bqbPva*|vWF=i1yPAL(Hb4!WfI*76?r;I41=adzLGoaB3 zNQ(=|NI;xLT!2SbVjV>s2s9K(%q1-f1cy9Qcm%R=DoS!G;f3Ru#DyRWtArpF$Sx(! zEXEHZ+>uREh*?5_RZM_gOn^%SCy20!@-vC^!zH){UYdvix2Pbe1fG&nN{~*Nhf!ob zw~Uyeq6`p^j2K+vkQ81IiDkq*E)(MyMzebh)rCOU5t-QT!2?vM1=VMjDLibl@kyXTPGsSDJIM+EWj)+ z1gfQgo(h+SERUAF_(l!cO&b(8Zs5_972Bw)ZoYA=^LDpDkD$cxxTDF*=k_OGIB@jF z$qNs!Tzz`|?wdRJGwwaiyq8)0I=k}2yONBYs*h!DrA5ufATwl^y~`+l`=Kf;r!KFs zxwx#Yyt=!l=}TkVk58Qg9pw7TKTh6AZ32*CjygFt2Li+RrP5C{_P1Cg+Ua6IO(;SU zAohPWK3nbbcctx>(rs7Doo>}TTrDxVkY{(T#O`vj;n`~B_6*PiMOL%KRWU|*?>D_!%83MuL9!fH``H3%NGu`SHeO)L==G*MN&358|&h(EKZ2vFiFZSofs-Gp{m zp@b?FRn8S(o-+$PKfi!Y%ufM>PkY_YLcRqw2M1769nY?}`1ehpVu_2*Ju?6f<4YJQ z3sl1I>)`6@Pi%E;ZFyv6;WM_{LFx{(bL|9=PZP)h$wqOX^Z1rkBlGhU@3U^r%}%T> zEdyw*;w~clR#y5K=6{kClFO?@OCW(@}=eHrEZ{Fg4#Z zwa_)Q0Laij{Hx*nmp8wL3ma=6e`~>b+)zX@~c_mjWs&8iJUCb%I`lj&4 zt?a9DcT#N+`oEOd}Nox34fJyAsS~wG>->rAM)2aAGzsroc`Gujnh$brz2&~ zM9H0rl{p+HaXN@{Iz;7sq{jJZ#SYN08YmOWsU_% zod{Ij@2`3$LgH)){}CUFL%wn;-fBrc1_wj=_Bo5~-zj^@Tk?R1)X80P7el0u`%;eh zsHFO8r0z049-+6-Up>)NWuK4Ses8sN;R;9n6%Xx_PjXjHaMw-t1=3FR*4^u;l;owf z$4B#UFx*J{P_SmQuYR)srbIuJlwjQ$uMN>2%5m;0dwdiVJ+#t5(ELjSy$Zs}tlxDpnf< zT#Uj!bwb_LLtRuOTs31nbfP_V!*+r?2A3ez3wBlacTn`P0kuml%tbEHPCd|3&CgzQ z7p|HCY53YHdD}n&(OuhQ1MTEIx5+wh)%3R2^22i}_}VD>?vVA`CcDc<%6GejhlPae z7I7Hs-7Hnzw^N+90D%A?YHa|Ln}n^AfQ7E0lc|uSshF#|q`Q^KPK)&}rkt)OobG14 zj>h~B#^MfU@=jaj?aidEHcD>WNU<{#w=fhoH&}16iO<$p#Kl6~%}Ug9tFVoUh@-Je zxQl9>hj5@ZudlhV|8|)`TZLc;%Q#QZ1K~**Q%~MFb^hk*GdE5iy>a~T&0|Mz9Y6c< z{NFFG-p#o6GXH6M;j=gSPu`cNm9-WWH|3YL7BzgWuk5V&Se*@+@V5LTMDiL6id#!7 zIx1^=YFodx04)3;GX_Fhz&EWUR0%+a{;_XE;~l@S)oo|e(atx>w~`^U7DX1&M3sw% zKFa-$bKNL0p+88Brlj@o;2QRE7!!_rf+9Ymq-wOgk}j@=)~8(YeARc{zcUt-Sx4i1 zAMI{Jp}0#2mZ*Bp*jnU~w(EKOAigKuibT>&6j_XtdeH6~lu%Ba(uVvqwmohif)Rar zat)iss4&(KzijSi^t?@z+KnR1xdRK3_ea{OdKC2TNf+oNt8>%U%S&^F1tY%oB(^%W zx->dJ)4sagvb;0^V!#}=o$&jL%L&9xq#0H!sRc8 zs@{xKycjANWy4{o&AnBP$ns=Ya`YTa<5BCYaILduzFW3H^wBeTYerDXk z+t`CFQGh8!qMhKCuywCfIPXR=ANQn-utJ`j>AZ{}4UNAklm8Zu{cb$h!`I!6WIyW3 zu*(!#Y0#Oe(mQE0*>7NX)aCFo5iJPaWCE!M`Wvs%#~Q|beL_mnVj@k{LL66 zEqM=nh@RLbw8xd(%Y?~Xjm<;_#G7?Cx_mB%!r^w3i8~dJ1_~$de}u*X|8(1zF9NZiFt%yo;Xr-hKOrC_iPCB;kSc!>P5Ac-)0Ue7H&uEt_MR#N^p zBED8)K|2)U-6hWiE1eBjJ`^Ay<0c>Ks2J`nALSww z^+^6RK{6+Ul#T~e4*G~4@Dw@hC3YlG>O!>0icwyqCv-(9Jzf7#ZNo>y?Kx%*9R^W(Sg&eYcZTiyIDul0Fm$LsUCe>>kiV0ZVR z@jpqbr-Ib?d7CEs+6B2gI@xY^-Dc))x6y5fc9fUenK-%IsgidNa-52=i19P^bun?U z-eR+Di=DNu^L7<48yFKsuSQB7^H+)Y(Dkx2u(5!vmX5ZDHkNwc_A>rE#P+z$9PyWn zcGYy-Zen8vKc%gDS{4R6*2W4B#`0md@~NKUiB1x+_8Yctme*C^V5F~Yyiv>2NZrX? z%GFFM+)*ZJr$VHYyrU^)qlUr;Rb_om`OO>T%ycE}j8y|2Ht!Eni}#fFwBp~S1_Jg5 zO)V*X4bctCvL<>`_9hBpP70AO%Kmm@TXdy0RpfOw74)gN&)t|$$r`i zK2kf)*BfboAT6w;vR+$}$3RucTo%Z^4y?*=s)!XlGKFNRhvhY!Q z(UT8lFY+p0RWy8PYR{|hEwAk^t?4Rj?QgFCQkUOYnBNFGMOI-;VOd96RZmq-Pc?`W z?ftFYLm)H!UVQ!A_apgLVs-$KVQ_V55u+wwPeVJd;l5CUa**e1jn_F?C z!!M8qTY@6KPE$X_{qOdTp}-9GT}5bTA;ZoR6p=$8@KGS?!{9umX#nKHkzw`M>hi>I zTSsaahBYtDgYfc=*l&VBhUEpqcheMM)C8rEEG$fdHi275<0g(1tGFD5?@=`~i-(IV z-*SqcL?`&}Kb-I;{YCHR4qVt+8w0UnX65Vr>bJ4!_K~TU`IRrTi(ON*ZEM)~v0v5H zh1Qw5rlOKNjm#>#42p668DFKW-c@&4L@2S*QAzT92( z$nbWmOYuFyDEsG)MKjoJ@A&7honIT8YJZORU4C$#*KCvQkr??Kdr`=iJr`1-^3Cta?-cMhZyf3A@9U_l$f;^7?)mZQ$M9DL+l}1ro8=F9 zA#?c`^&dyZds|CC)V5?)fBG zJ~R%xK1Y%kRu}7A8ymlL6jv30?e3^5EfU(gK{CunBz(Kr4xQ}skG1uM8SfsJ7H1aZ zy_#4WHVd#7aWhnmcj2^BKX~)x*U!y$Iq5BR1@*NBql3MV-akfq!ixLcSiCn{Mte1X z`;?pirlGyKu;SgP_Ue}JoeH+5Jh3}f4u!H?smGt)^S!s}#XlEw-#;&{$r%{@e(TA7 zajQ*$3<3d`8Xgv(dh7EG(sMJOmXyAKmy=e~P$X|_0Ckg&bLMw8e)jxUe)`k5PwwVr zXa4*6ZbMhAVVH+#oU`PqAa+*+x0KMrn!J~JAdE3|5w_p$5;QplwDEidOT4m*52@8>ek!4!_&|G+nIIh)rH${{yKj> z_1}yu8O4v%vhQVneDJ35(fiWp*_E%dD$??6(koiCo4SizKbN)jH`aESH+`+?8ft6q zt1oUW$g9cDugxiLEiZ4Ys_v?*|J>Bl*V^&3vv;WX%gC3$@t*G!pMOsG4a|H48l3$; zGXHaIaj*{??p_-G*wb^fsP;xh`;F?(vt_lX%PaC~TRH{@#<8hMY-D8R``Gfpn^#Mw# zpi62+p%rxgPab}r0g1nLyg%UlKUO!gt?!g!lyEdToGeCb(c-DkNSC)wVa0i!Gzt7bEp$!Je43tVURmp^Z+!8+zp$e# z^F!9mN6_rhV_v@BNmQUw*sc^M}H=jDNCj+%0~1wDiI5oExsM&UlsF+;GJI z+^ZYuxi9X%y#6xl&9nR$nbmJR<9(2(I1DT7yX|Op>AD{dd0+D}E$8LG*-!7hf1Fd3 zfA!TJB+AU@vlY4N3Hlm8DtYnf>9r5}FG1^kTbA*$EQiNXiP2t%(Q`ASwR+^0{ZBLh zef8p2df~qxtKYQ!XxS0uhNRd9qHRHs5Z$qTFjOz z>;YS7%@pnwJbzvI>cz*$Y56bT7H777>i_`;Df27t@kHBI?DvJ#cGYDUzRfSsDsL|D z{MwOGl7ke5SX>Nv{LPt7Z;Y{&s!T;oA19g8Cd_ke1%& zoW=?Ubr}|K3yCNfHcPc#ha!e2`&t`I8(YgVOEWsYci&5YhU5g;+>IF=bT)@L)%Vn7 zmt@q|6%^Oy7S`wGH59REOR_lYN<=%*7%LT2e#p&Ed;9ijMn1m%Q}^f(6;EsAq$j-J z2eh-mvwOZyeJiXl$f+yHZ!8^KnLhF81~QQ5Kj_1m;Dihn(yMaYJ~w3-XXe%xwDq=4 ztjvX9I?C_6RpWS|)rH7CFV4UGR($!x^|Zo=x1XPXo^$hKO%6j8A1YqvR=>%w z&B&`sFRaU~YRhfuEp6|sZ2#F**HZz=&^_GV@~xq?xd@&bs$JSzQPEyq(_P>AwS`z* z33|lmzOg=lg&&h&NMrz57^e1<$S|?kN5v+mSLer<@Ck&;-}^hRj4c8GEYD!mGK;NEEK~cI*GA{@ z-DmOgrp8oM+cGWm{C^?C>eBGkMCaIO{oGtDvA}8+2b|TV6;KxN-Rnk{ z7H8jQ-I=FO;f`b0CRd16*sJ(nw=?+#X(_3G1H<3f@KxIwmAX(~URqOA0NTV1wF_Wj zdZ8DPfx7&8dcF%_0l)!hX11la?#0-6?U%mX;?lbS4y|pkTRy!S`c?J$M}A@D-Re(i z-6N&xRgWH*J$hX8@^J3e*z~h8Ww%0W@5SZ+lhs+2p8e#+mA(7#pW5@_;LFCBaaR(! z&6U>$Te3xN;SSKd-um&c{O8A>TuuJ>Lh_?aS96}9Z%f`B{^w}w?PF)3T{-vc%Dc8AlXy4UEi%YXM?2p0eo4mpdlwJ!IX zbLXG^Q`*xIbTkF&Nde;{E2V>P{&`-Me){H_)AuhNynF87oV2IKA2>FvAWJp=Agc{g z&hOev?!A3_?$Na?ukT-acB}HsC;Oxjv`HF3iN;;?MCL#FwRu;bUp@8u>e0vNUY5On zRh=ney@}0b6OF6R#<-o;{mu8^-v2x8+PQzv9=&n0sI$ubP&Cq~&}`elX}9sOycaJD zGOwoHyp(bG(yLqVDsnER-KE(qNAIYQELHul9?9;k{`=YOOV4fq-F*Gb+Q9B2}nDkrG8oEMo7yF3Baiz4yM$t=!&w z$6|p+SuA?*7|dX1Fa`6@y+hJUBKmue-}&%iL14?>wDVn|UJb@Y#Yhrz+CURAsl!4n4GX#j@vqzij!RmOc8P zdn!`uCfiR{q~vyiVrQ52H0-O(S@!s?-(C6mvKR0C$@@=bk2IaBOgmqma-u4|w7qUz zJNL=q9XG9f_O7k(ynJ-+@#+h}8BR8wuk9}_YDlT-&TXG-7}9hO&bIc>whSm*2j|-Q zXDQ#}EcjU$NGzt0G1eIEp{PKV3`R+Rly;M>gF;#w4Wd0REu?6pB{wai?dmAW zACtUP!Wm&L1Z|12Zk7wjxu`@sIVO}qRYL)m)R4>)IPgh>BmeM8u7kL4%#e~C!95{(-WfQho+=PT%im?WkUlITr_$8CM$Lkx95Q@p^3e`e`#nv-2 zRu~A4X)HaXb2US=<<{Vc!rD>Lk=m(mYg_6{pRL)|nR!^-{KgJRV^JGa&>6YVL z)~6lVl)rz_H#F~tv`A9 zNwB&9{PDw^nl9valpHv}f9=uD8`F*)D$Z!v&%UsK!?Gvu{O1)+=UUryOZlO`fVM`o+7?EqnFufBo>W%<-n&+JY~SZ(E@H!ezttsqqko7(NkZRCe=)L9L~G2E%Vg=%FMmxnd1S=rx%X?r8H4$-eBQ9VN-zij&SX=Qrwx{`~2R@4bA_cUIj0W#!r0sgC_QN54L| zW5b!Pd$Nv?I2JZ!CH?r-N8s-6*!W(DN0-x9v!~?T*0SVn1!rnUyK1KTZ+!3hWzXEX z?6EuY)O{r*ZTqv%9w<)zI`vpeUFnF+_}I2jfArGB-+%u8TRwT=qG|MWZQAy8d$(sE z-cfuy8Ld)Fc|_UZ%A z@86Kpn6>M|p7lvv*QM_J;==x-zUGYu$v=GWiDfVT;op}(QKcS8YcJiFdwgy3uI*VT zPn2gj_|&(4@%ndPduZ7!_rDBorEXw%Nz(p;6I+XuPF7}3TeOEuvz|Hl#iK_*e>v&P zy2aoeT5=5-y+bN{Z~JWZxNCUO zF;r^kJv>-;+B*3DK+&zcKh7QPEbDAMTbXmZtRSPce8f7n{^YLz_0aGCW%X;f99{RP z3t#W5%PtydNv_I2-B6m^UfZdgJy@3WcYnJ5AKrTOzQbRv$v#%z)s#}4voj<4aCu>V zdt3En-z{%F`!BCQ_KQ`oym4~p;fk!J@{D5zr+1_tO{&Oho*91rvk(97;ottl`;R_& zc+IEh_ofu&oi52cQB}C7ATztGrD0+A-=6;C4_^4wuhzZ2{Pdpe*2)XT8A*lb&)4Oj zYbff_&%Ux@^$(xB=YPF+|5GQwT9f4uI+cT;y~wU%Z!<|o&tpRG;-2)a1a`^<)qzyI_--+%p) zr;ct)>Z~s4sy|wvbF{T6xwE2mZsb^L_V*sX;|Fg(cK7zxTg%U%Z!A7jk(-+Fq-=O?%9%{^7rbMej(UI5VhyJzqI%YjWhGxi_NKe0Fa$j0m=dy10F z1}?pSY{#-^e*ceeKX&tnFYd2Q-DI5_cqHZPS8{hGPuJ8e^_GoR^~^Li^c0OP zcFwv+0U2gZ!=nqmV++0Gn!ZWhfWk1Mu}#|p+CU6Uzgh2^Uv#U$M=*Fa)B5pYWk1M- zc6?GdF=tZ9t0YWb10aKouzO;5zy#Tk089vS9uRormHc+r=}-76DHfH&5z$MC?qJ+X zi$MSZN&w>T0Tqt1c7}5cQhX-3lYxg32=)NO%HLl%tI#hxW^6B#IQN2p4697)gUxl2I`bNBYa5 zJ#E<~ku%7piINbLVqUa%QUIqzlz5gGd<1)KWI(%Eh9txRz>n~Wd;nKEkyklGoF&1V zK$T9CNHg99I*S{84Jzg?ElcU{E9DamcoP&ILjh5a?eA}yo<(ad!KV=<2cNJfBu64) zixBgE-*k-9BqX!R+!v!Y{=nq)OpU`e5Q9{$6|m3O@V(y_Ai`r+oB+U$(VwAAwS`tGJDSG;n=>yKam#mjeYedpIN zJ=oFLSJY6KQdNGcFt571yK1oSd%wHo=WjlL@6OdXzw!9#;rs)7jECKXtmI z@SpFy{nzVWziIQ^zgqqnxGHVq?N!}Xl^qoo?Uh4|lefM6@DHB8`;JZT-~08-waI(? z6vMS+?U~?V^;{fMO>8)}=R5b`eB1hW9^CWUdk5FGPIZ^GR9~noO>3yC@9)fSs`#h7 ze)+2pUb=7d2RFR+$oq%B8c>a7SL9u&%)QW3-k};jkbCZX_uTZO_n&-V?a#k=FBP>E?an-w+fv@E82iKW z7k>QSlh=R##$!9z9x6_28ShRiNjqF{E~z4?sJ&rb+L2$p_tf>Dzjn*Ucb1>sT{L6zoz>3NqbM;4XOZeIPnoh#q0KmBp@nIc<%t-7Obtafm^xvi&U&fKr^Ow3q@ zXAFar`oSsv;EZ8dX&zs&PA$6TT;atKV?!&Ud>Rn&5sW_FtYLC|VHjk_FbSfz&KZ4r zYhcOZH`szkU(^nOAPeFNad(LK$`Ta_FX{17-Y6F)<3TzeiVFn8g&E0D3hpS6?08%X z#6=`%i)xXK@kEFx{8G$=6gBJ-13@Vu@GkH{cnMTHNQnV(JqXUtOGJq^a>JVAEdd-H zV069&(1S21c_UH;P$iNGM&Qc{LX=|Ql_U}rEBWCXaQcAaI2#u?pbm|!A2|Z?AVb+P z8KC3wFmQWX(qEf1M1cwLLP$(R@J|Ra5#ogaa0WR78Kc5Ym$Dg}5Csl+0(=7cj`}%B z*~&dj?%J%3;C(%a#Fen zy0#wJ^}U;addvFve)Z8`e(?KW<`t%8<(xg9d30CO&g|@S$*E`l?RPi+j@`e{4y5aMef4bta>mIy)aHuPgn8?(q%XO7?9z{kDp(5^N&*VQ(8NksxH-3cQ(}Z zG%J=C?tcE!|9I~H-*0&5pI*8Du9qH}njFn7$<3%M%&gAuo$M`cDEYzNx8A(=jh}w< z{6F0HtDMUGvdX;N;?#<&+>WlgftkMlcRdG)|>7u;sJAQW4XRrQr#S?cvduMxpV_9{{>AbYG^1{KffwH>d@BivwZdv!%pZBg= z_8;F{xpmE)YO=PntgNP@ysOpdvmQ8qa@mbP`o)^R-0;zJzj^Aub7v2gW}mC5$jh(F z8Bq*(4tM|EuYY{wd(YmxVdXELeYm(j4~FI3g`?*(&s^$mSukjS@$lXM^2VR<-1qq} z-+XHI?oB$gwxO;5VpmgnTfNp}OD@k{cGu5-`SprhHotfMvkzuh7j}%a2Go%O35aL{Bzt-f_xCo2Izo;_J&VUo4pHNv8AUdbo9zwlY;ME-7h)6$Ny7a@m4ABt*0;qO? ze>gI0b1A}v-shWjxyPLTi3M|y-ZL?w@1ED!Hw|Q7GBq6?On>OJCpYh1lXhlTS;<*Y zffK3wPMqDc;=Si?d;Fg3-+J`=kDk2lFLyk@>XqYX4jwzUFRdUoIqO_@Uiz2YzWUii zxBvU9XaDJiJAZu7^{YO7>C1I*e7*nUjN&8J1t*Um+Vk(X|NQ3H9=>(uGk<^Q^>3_Q zzIEr?v3tKWI-x4(Mgfz*_PnOVm{a?_3$XPz!7 zK7aiKH{ST#J=eeU*s@>#?_Ec?9y$2+nNzz9i&73B-BDbedH1W&eE04f|FHIrfBeG@ ze|q}QdpCS}e9yK$d%iw&Xjj^WGY3u{`KLeJ{L7Wk{o5OV`u=Z!wrlT}Q%CooIC(H7 z^L+A!q_&Qh-#>caPagmMO`p8D?1^7Ky8Q9;NqY|;*|PWa*5l`PS5#;0JGdT@;a96( z28ZGY_ucgI+K)DG{QTIVoq6e}GcO!Dp0wwt$L_f9g}Z<8;ZxuH%WdmkU-s}V*Z=m1Teq#<@a3BG*=ZoPRi$}(DZjYmKmPTD zr+&HW`G0)y*Y`g4z`^ZX4}HD)%*j*da4;GV4f%#{4h`mVNP=aZKG{%5~A^yxo+@YMHy^YgUS zb7#*TO|3}1*m*HCFQc*Z(&MX^|6;`xtILxr)m?3qZEXuZU6akmr7`tp!Y`2z~SXToe?u2!-8c_ximd*oY6W|8vFc`S0`^V z1F+yFY+=SDha6>0kOg2NBKk?uNlEUQzn64Zj%n2_X;Xiy|eZMS)=iHp&4f zaU`Tjl%-jU5aN^=4~dKy00dPhN+DM83yc+q3VBgrV~m$aMb1%)XQV_Z&X{i;1Rn*L1Ot~9!@`o=J;QO9c--vuDg?nsg%#nz49hMtoSC5X0D?e$ztN~> zN#99^X1zf*a0XvUG6p4{B`=O_cHiwcEaT)059X{Qkc8K0orw zN1K;-4pu8wy+NOr3>h?Y{d>>t`tutPyua;@b%)=3;r+W0o?NAvuCi*{Cr2vkY5{+e zKKlISXO};`<<#bn_kQ~Hs+R^kas$o@hiQ0txLUI~RNj#J(6e`a@YRYBcfS7O>W9x1 z@76fGXEk*LLpd{3#Y6pR&wTLIvmZRMBWc4&U%j?^-OCG_Hos@WplP3;Ea~mfNy*)N z*CV%lvhAbK_N{*8tp}?Hiagd!7DKaQreaEQQK9R4bJg>2uYG;Pq4jTm{PfDtUNX9- zTt1~j*`-u;niof_OU~W(mpeZ_xa!4KPyc1*V^uBbCi{qbp>=wyuA{edOx5+^EB8F} z$FRQD7#Pu0|olwEARFgj7KG4za0 zH5ly^Ci}GAqtsg`EgqH0rBv#N71}|wd)^VyTD@v#Q0HgtPQnP{rR+c(3@(kt8Owohd?}$snOXg^u;#lVDOVExWb zZ?5{}u~+|6*->88m)p>oTv&9ds3fVbArBa})-auteQNu@^{sPtb^V2vmonPAiZe3y zmlU0Dtj`AhYVND}e8+ng?dcc$v-9hY^^Fu=tT{c&{_%-833{!ZY~$k2_MWOuyVf*J)RcA=6t`p-)u)@> z^U;`XQ8zI=)eTR1@3BogPH!&hDJCCzjgJv+!yP7&nHthSXsIBlq zZOWDlJ8C=fY_6FFWxH0<9`P^CEcCwi*{dndIavc$`*M$*NZ+f~52)wb#zz~wdTSiP z#SI6(+6BC5sP=qa>iT2rt6K|~3==cM&7D1!L*q@OQ!UAvdv&(4?unX~q2kg@DI?=m zGjokfb=%NbEj$wK5W;{U3urVY{uL9LMBrP6O9a1FSSbkqi!zv^4+P;u z0*Ha{TF8JvAQ6-$`VF7}WQZk#-$Vx4ow+75pe}6=Kn9d$NC1Uk5~7#m0U5#=94NfB zDwaU;gn*FnUJDt-1dtOSF9d=?du>B9Nl^G)SCPSukpXpa!7ZLbvOgklL9~69=mB&B zwg6}VYysYcLTc2fT5={5j(}%KMn(dVdB_vb&~_~D07rl#yabAH!_%sq|Wxf*NVxZ>ihs(E;< z`uV4yc;MbU3kuRo%QCiXUsYO}Y;=rF%{LBCSIlWI9Y6ck<4@n7oV>lb;Bi_bsyhuo}F zZLOtQ*(bi(_-@xwt;Rkvsq39J4V*f^@yX|I->_l%rRMyGy6m&(c3;Roq_PZm4cAZ3 zcQv))F>BP)Y|q1UB~!L{i10|vor|Eu&_8ZtLa-Xj;aj9AV47& zZBy`S_0C(oYO4=C3oW3KE4+lo1mcZZW$^(lunr&zNNuHntjHi&0RafWwbcJ_2rq#O zUj~O*;MsjGL6rA_$W%c_27m<+00bEsghb?8$dC}wMp5vmD0tBSN-RJG z8(&PIsG#gP#I7I%=)V7NAcKfDory3^u)RAc&T#(&8Qd5d{OC$VFVDH~>Z%}Kn(YEW z2n5t{VE_^Eh9LC#%U<|1l*{2`TYak!-X{Q1=Vb@f?puQCwQ=nVZv4_LT5q(oz;r_$x zE~M=+F7>)yV^iZ5+W8i{Wx!<{aXY8y=Q}~OlF#iM7;KP4wO-pWK3ZtA^}5_+;fQk4 z*k4(5E+yq;c~z##F*&=?JTg_LH?(>k!=!JCvH{4I+1xWXSF6<3 zo1J|TdcLzKzoVllJL5=J`cc0}9}JE;9Q~7%l~&tOAgmr9ZOF zG#X2<-aeo)b~{~T!N6=m_OabNR{>}glpi-b2BWN6sjO2es^VNZq~jqm3I^ zoIST|WTGh;F)S_(TWpg`RhQSlFgSd1$L>$x`{4Q2pS_-$wJR7>SjfoV`6H~3Bh;C}O*<>A7Y1+7ip|s+}#;@Oh?e)i2ef&ywWs2F* zZ!`Ak)Ezd{kORhHuKkOR?|ii8wUr;gdOT^5*D)3etAODw7zV&KP-}-P%F@65bOrqH zmhG#jW;-I$#XxYjzdzq*?snL^jiz3keaK=To?mD;+s7@ADL^fwZEDdxVR0(Vj#-m^ z2AnCYYYzT&`qXy6+7;4*fPVqbg*RgI5oS-=7-5`Y+93G%*k_LHaEBFNdmk5qYs9%JcOBhAT0?6QY_Hpza$*7~WieeW? zWPbw1bAl6`A!>O1r&pX0l2<-=tMGn)F=``>;1KLvnhfro*ss zX+e3>=Nh1hS*L3hkRcXFz4sZ;NptonwdC>4IvwLK=a}0yZVRZrq#=UVlfpQg%SR;UTAY^d| zRldly%Ri)^uhGxdTUD(~jy{KP)Z*yl1Z^NN4ur~P>R8e>`y=C_=#1Sls9)^Ddx^RQ z&KdA(g6<)wwbufQ?-&gRRb^qomU3pRzlKfta~{GcKP3N3K-vz=9VL0C2#s_DA#}zOXJpEP_O0 zCXfJO2u7C(+C~CHpe!-Y1w!*~5LWcY6FyGzgTzst;boC^AKO+?lW&*5jTnFotl*a; z6*3jMCNl8wH9({gN-hY2Zwh?Eqq?RD0D=q)Si!I5O@If1qJJ!F0d6m=GoVC5LTe#$ z^Z+FzFfyPt<+YFjr7tCLL;TUGr=>ju#TgQEr8Yta)HD)A^dPnn5Abmxh6tZ1+5rd1 zs0n-u`11-|@ZeT3KqOG@Q#3Rs2?j8QFat$Ymu2*O#uA(shz-pk=Yoyv0WO@bVJ5C- zxrGG32;cYlMgxIKDyG5Q1LasjVsQW#nB=AeY8PYT7!A+P7|or6h)OP_G)!PafKj}P0n9_uTifDLFV6<#>mJCluqjQ1q9Q?{3R)?b62&K0< zC*3{;D2q3s^!VpoVRe`_c*80xZh-lWejY*xloAWk$V7~sX4!dvaMbP|bo)mgo}qAH zDi&4JHfiOg48gD=mjp>3R6T`VfQJAQTSX>hhPf?-CI5Fc6b;jajoH*+b^n2a? z0;h(VFUB=-Rv#tiL3x9L8Bj4Wm$8_h<4j~kVKXD%0$LBBL`WT2Y8V+Js)~|o0HP4w zooJ#No%~6_r-bQ2at5n!${$rmNfjNQkB8=c&JnL`BpjKGaf{LTVwltcst0^3iqiRf zvwpvlB=x@Fe2g)JvF1gmkD50*heI(c*0d!V1*!}3Q|M_^NrO({M*PNqS`0WP(TKb^`fw$ zM1lS$BnKxrIHa&BQ;AnZ0y0GY1~Q-`=R^pQp}8&nZy*DrC5TIeP+Mu*2w+1QN-0TpDx#8jzm%{ElAesKXwJ-XjySs%r(yD|Km`(#3m$reGH{Z^O(+On z7vc5Jz!a_kclx|DamEy5ji`8=x57lCV;UYvOK8I98)kS7CmF%n0pby5v{6PMqIC9v z((G0QW5z&qj^+(8nJH!wei#?5FwYsr7zod^yh)U-p!*R@B}l-COaMntzz@eBt2!$f%0hd5G)%hBs|54-Gxc1xevHRf?l0qahP zW+`Fzct&9~C|c=o^#LUaM^y}G;1gzeg@aR!F2?GK*kTMZ6DJTJI2V|2I2~Y}B!Sso z;J}+pm|4LJ`b&g$ENK*(1(DNh4eg%r4A2SC_gKupkc;lnOkA>3{1PLW03KyJ0rXGc ztRim%I!g0Kpk4&4jR^oLT32uy^#EiS;rjqnK)~QB`vPi)wnn3+!k=LjG~E6do^- zPdn-e#Oh-SD~yTLIRvl?#s%&X^$wy9@(81zOEmB_GtF6HR)7E|#6=sIuyY9~2oF;c zTwTd0TD2+WN27M20;v8+m-lhZXy=*U+T!b9B23j zGKiv66x@IeBHE)0z#%}9CU74x0-#3IfEz@_Afk3p0eFvH4!?+`LbrnuztW6;3f5V{LM%P@}$-BCvxb|L5;@_YOJkui!_ zatS@nEyQ>|=mja5gPbKIxZE)l&8iq)M>1#!AAkZua>Lp2%pp*~Iqrn>#zhBcCAMxh zl6SF^H_BMhl6C=Tk`*CaY(WqffiE$<1BW|Ff zjYp9$Ch<04JCVpNCoBk(Iw5Ij31MtZFvb&bF=lYbB*_Gx2%B(#3dFDn$D@uqK9Yei zcxX-!=mf|BgbD^02t1fbig8GYo9S6WZ}E)HX^9mV zT%idvu>@lX$D{}o9kZjh9+D-PP*aj#kbvkq!lAiF)eZPG&#<(3Ls{H zy>ZDLi0R^z&k@zxY`xguw15!-f+T}BFiAiIi~|lp02vcxZ~(ZzETR*H6I^lL34+Y% zx5-sZkYNEeCz0j<6B&@ZpY;fSF)LP&A*{ZyFmPnwuXJ5Y-8QaZE^NQPi3(tpR@@ zMUJub49qLEXoa)E)B?g8!$R{8c#omL3!h_y0uP!pENK+VX@UZxhziD79+@7P=ZL3C zz^(z1i5MHCn2^;0jM{}(!@)2jj0GgL5X6GZHI0}R8e5vC10)H4oDX;JBw)H?D1%oQ zIB5Q$F3zESMLB^8MV!H~RlW=GP(bB@FNp~=9200Tj+OvJ4p6bM-Hvt%L9|(PGjTiE z=qLpY#|v-dKjXX_4JPlVsHJdZHpa|hWDaw2z<+<7g&%rowDn;i5Yb|v4&5o55@>CH z5Ogge*=Run{0(feh^(*=9uxd8kES2Ri_tjhH%{O-!U3>c;V`;XMpzQitpyoWu5-EW zE{>{goxp7Z5d{z-Z@!OZ8}y3gi9L zXjBL!F45#@G|mvQ#RG=po>;+-`18iWB3k`G8VV)d` zM~6XJVo0FAUHIr25^_YKMg?j_jE!O$mRO}kE7?`y^-( zFS&Uf!C+xZi=g|U=`fg49{m{rUjx132*Wq?l5((-6Tp#);yE8f>!&0xA;nQgXi0?W zA@GbOF(f;Omr%j`n1B{{Qv#y*WDG5HfH9;wo<#8n$p>^!PEW)Iw}=o1EzacP6iQ*B zgk}^20@8F08h+4vf^gS0oEJFhTF8JH0&d7a(t2Rj@X%Y^s>;jrXBCs(J#F<3mAL5> zc7MS8NVwoR;@0$G_*zj>T5o^T@L22DTUQwj3i)gDLT{&MhCw^!1r*Amt=rdq`0?8% zrMdg|Z=am*1F*#*`8&?))k%`?OX!inN{u+hIlv zRC&NyH`wUxyb~XN{LZmsN0Lq-JDIeXLA@pd*hCt`;Rzx-NkykYz#T^5z#}UI3PoQQ zCs zi5Ah?UbA7-r*I>mef~jy;RTOhgBQEm@r^iv(XkxdRB%Swxpv+At5$uqdGqF!)YBww z#W(B6*M`&$lpV}v0->=PAr)AnIO_gK#^%8CqGo^zFMNXi!2lz7V2+tAsx!$)R(9dDBx-J5a!RHuZ+z!V$ zP$T#i9NFp7wzO7l-?4G`o-K{dl~D@y4DZksEo`$*vZ%pG5Uv(;RMfG+?p&;?tvG%9bV*50Bm`E;<@XK-yuF~Xprl+_$%f}Z z;t?eXN6hn4l|ZUNxUec7QSs3Qfz)tVSaJb`Bj&zU;$byMToyP87NtPWQ~t?#L?O{y zI6l0BkiH3t(<7*4y}17>YP}dwcmM%_aKa1$0dN2^Ky!ZZGOqX<{{w>wUOfij5GEV|DHM$xL`f{mAg>Vl z5dwA!B+}`0&(2INsOLgK5B?By3FJqF{~I!h{56q*7eYu+6RsEw9;mBtpvG!bGYo?3 zc$~RdUp+R~N0O*JCPl&=2;$}5p3aU6g<{C%GU25koJOnEYNzm_f}o7x_z)D_QDAni zv#PpGt5ZeL{fbS^bxCIqL`b}8FXlxw4fNIF^2}G)<@OJ@=@->J7qeOnMMW8zSxHpP zDS-ciA{Ai4k!efKJXlbC9tIFD&*89@SLW##r*V)3Xn-Xlnt)J0q z+Oloa)XXG7M&Pxnr5>}UAUN#sP&}SlgxiF%r1;#?qkB6$o7^s|UZ={)NUo{P4}?(8 zmmsu2w@|G}B2ZqDm6CR%?qa!qabBgKEhx+^EKUtYfcc<2GVb7q8jlYQH^2PaBVVrn zq@$xtIkx~r*XEzWUnpAAa<1-NmY$+*BAV z-Qo;j9rofq!JrEDAy2ri4rO^|&e`OXW#vWiXp+yJs;()+-GPF@a?#F5KtDL2&u1wq z&O3KL2_{uve^+M4g|f07#Hm=!nc@0z-W(^Q?TOHu;vf>B(6ZpZrg%+a;2Ux!m>>i%fB%09YL!M{2_yOI0Uh9r$!UGr^supc znnD?KjNZNk>XQIxBsd|LB6L{KU(*ihe-nuR~Kc%<-l5SB!#(aP(JWJrj zqK)U_Vq!7Yo}PK?*s&8QjvqaH=44rE!T5MT{x1?7_N&MMuhFRIV&jFsfebX`l^05Y zR~U?_$|}x>kX-;0BFW%DL;|81!&}~v9P4FR;4QY&lJmIwT7<8~Sy4&=a)sEqAFTmF z6xSAsF2YnSC^~I6ThWf1@U=L=AWtJs?f{c52PJSs%TrWxW^$(6;WBW1jOPFbMIz#d zJ5nk~WG%*-GHz<7uf8eI?$iN>!U5sbQ8H*U&BtgDes(@Yr#WjvaAG8Jfq+#7XB_$C zq8kJd9=I_)6hJ3X|E87-t8GybI1z9`Kx5(d zHyRC2$2=ZMl+X41m2gJCPt)C1)zR4kDJ)#ESQi8z!03%pIynwo>f}Jt4aV>c6Fqv`40+qCBbTiwwZvZ^x`FJD(GbGy5 z(xg&P%Wf3%7h*7lD6mkky!ss2_iz|gLxiDjZmFG`>34g;zK6lP#93@tIBM=2Xtvo6 zi;Fq||1+D7Jw08Khyx~)Okz>Hqj+#&pksP!%w*Q{h#vD48Sd?Ebvbl0>yxc8jL*<; z)3M{*YcG~~y>J|P~fLbSAPD6mvhwm>gDS>ERYHc8*!9{~6kq`#^2V8DFodzqy}oBrKY|7=&I!E4 z#pV7EG(k9nD6fH()a_MLw59n{p(sdXltJKtx=QijS%X&kf;wD2;Q>nuryd?{Fj?o= zIDy+;iUJC@@B&ZI%nac>3ZqhRfv&4BQq1?^!Iwfo^vbZ&DCM;|G)Sk=S`XQv_%IXp zqC9WHgELtkzyvw94TF$C&NX4N&u8Z*TdaCO1`co`PGfN3{6X8;L>Jbt06K^i0&l3H zu?AhfczH}HD$2xMWYmJbgNwm{1`iI@YZpdCVK_Svh>BYJNu1kjnwajwcE>NrXD-$k z8I4Lj6?s8q;UE4cJEt6Sx-K7x9SAz(+x_51x`>uE$3DGUoF zxC7%8124SzG`=1D@FdRZvUK-0TdfOV)Zj#bb?^ke0Yh6y?YwFx9*<*Ff*JsMJRaba z!Jr*C1VB|cH0=YwAV!7b986#yW&#}6u&AL)AKWb7f;kKj5oaA8os}lD(&M$t=S=`V z2zp#z8z)i;)VKhZ{|O@V-%1XyRwChe4yx4=QEo1P{`azhj$A_sEcpFj4+Z`j>T?q3 zV#o|3JtY~mcbl1`CqmvK)LRK)nfA#RlVL%RP=o)451zJL`|bdduK?Q=}e4*3Em-1il2 z6BCPh7Z#@0e(|;w0Sin)^vyU+4Gs2;jC5hlBmt;pWPl4GNpF2qN{caiRaP1M+i{Zh<<58lJgoUc<4z`!Ahd>L49Ndc;oe2!C{d@ zUYOgnbqxjWUFG0_GmH#el)TUbP({R9Do&7dtX{M|A4+3+zkv*wD_&5H8cnKz&M>}l z^Qx(tLHtl~-x^7X#zJO`Zg{i<&dYMhJEmgxqsMj(4|mGX4rvpb)qIR}=y4i zq)-4^Z?AY^VCWJGPhrjg1_cbwf@T;ml?ABu;W%v&b?Fgh(u;N)!lm_Id2a+@`{1t; z!~!mENA3@>g8ae@X&EQs0^|^%ASB=+27~^o=~0qGj0LHN7zS4J@v3(LDx*<&E~0Gc zr>2KTMmq4s4igar@K`{Gv59WrKUV-mVi<&q3u*;L1voB*X#)ZLp}ag-Lfwkk7)>B# zfJ4U_x5I2Tt7JMVy8|ar?O4!^!&8vt-PsY~i11w^8elj=0LL6~hVx(EVF615`F)o~ zOe_IMN}xQl6L%wl55M7Se2Wk=8^L&c^*Es51cUk{AP}P&*;Nnos6(VS5!ZX2lW`ik zr3~Yb#r$|lV-$B(2;p7_q@2ZodzTBDWVwt92`mx~My<1}c|kJ=XORaP^@$G=KA$NV zKtV`BGNPR*MZUVKbkmkk5~%o@16GDCW7uc5YLL-MFyM~i=SvO^bzDe0zzHFi<*w?H z!)}_Np9Ex<4?bV#p&GWX!qn)4|Y$2II>Lxo+Ksj2!u`eqzI4* z(xYFRS~O1LK(R|iDFAtm7$M3D?u1vaenH3}*S#nF2q;h@HL!KZn(p2Pa46u~cu^oo zczp5thDx`0>1q%jBqQ_K3okqZ!wcvQ-z1~KK)_L5nKLup34;m9Kv7E!ZM)P`yl>A2 znvG#hkOUD-JTs@7o}C}2QQRJ!M>jy0T0NeeeB9>;_dgDUjM)WewQCxiiosJr%Vbc` zQE>Bv8@~RyvL@5xGmEl2#>GMrTT^opxbQME;23`B3UdJW-^hy#ys(5z>tXrPlep$0!>aMQGy-E?!7I27C{m9ZXups{k8gk*UcMmuu;Y-3f;ymc0CPFh7C& zUB+0L-!wKeIlB@~I1^C(D*I7`UEbm!Qkt`Tum&*|hI|hauIVK|WLqUjibv2e%reI%K zl7|-?GBy(N;AStu|A`Fn9R5ab0<;Mc!p!XW#6%z3 zEE~fDkTfEyLUC!k;+bb3+Oy|tqetU!m%4lTyU&h|4=R<)kvWc z)ICo;eQ#%XqjG+Fa(ZfXe5AUz;!^8HfAAIPxH{X1rxw)phyQQO} z5m4)V@*$uNa7w-R=bycM;?&8JvC&zDQmb1W93I$vV5dqufo26#-Z1L4BSgOZ za`nMOd(CF^!omU!GVrLhj8p9$6)1j-!;f$aFf(%tPCxL+TT;?a%+Ag#6iTB>m!5U3 zsybV?!Z6`6ISk>LGXb`e;S_Xyk`opH8NmO=VD81Sg%B5ah9U-*Ll;bQ*5&U#aq#dC z>^|@?#~cn@R(2XZYs|p{EbkJ~t8e$-jnBXMm<$fF7&$sReDv6X%F04HB27e=Pz`Ou zo0fTe&%Vt-27q_~v2lCsD6CqLfzxV1*)M}@sIJM`yya71&R`(mXpvAj;PYQ1=w z#Wdy&$Vkm82R3Z@M7^Le8jYX=gg@voS&VueO`_(dG-r>au!;2>frbAPb6`kKJhmt> z)+-T0QVu2nr~OvJx6ELP$q7DGV2l z4?lf-WNM)DVs%DFQXpWFe+AknKO;%5Z~QMMh*4QY1S725W2vex&CW^3A$rhvm(%XL zc(HhC(SV2qtztNsTB6%!UHiofyHkhk25(B}I<<#ke=g%L9(HI}^7I~D6i$)Ca9X@U&2)(?smBpglwsqrI>(?GXaUeDA zjL&UC)fX_TIO`n=8|6@QJRVLA33t?(xn>s1hFp>#i9`-#kMTQxB$=uH_(-&mCIz$y>*d?@mrWTv3rj5hkA1i=0vt zbaI*=*-%6tkoV2;UJ&?1e$TKdhe*aO@+a^*G1<9#&0D+oe7Se;<{dlMmy~3MLbj{* z=Q!JfGCp>@_Vdp_eEjhTK&eljJXldt5DI&7$QK-ZM~u+Pb!DN5eb<34tJc21=g_tt z`!*(>KdiUR@qh-{-3ArLriAo(4TleJ|KNkyH*bbv*?Hi=w&vyv*}T#$Dty9eJ~Ypd z9ozNflMlS{#l=#m^Dn^Tv)blmKL~q*pi?0*ZJnJBhYsz?$~u#idp0k>WO`!Q zW1kUF9h4b|{zxIg<1(8o^*mQwsiW(62%H`BE->G*VAvM~H$|0WjBl?ACxwrBgFBC6i&2i!xF?!N{l;K6!fk zmYr)fCPij(c4=L8M{AFIUWM&D+EDUx+r|Xf)>(1**w*6G^s@O^ZnS}B!i&4RP~bs;7cBE4 z9&?q15JoXHDFjp#=cfHK#R3`$h6Yt{!AGfsd73=Y04E+H9?*npGAVqR3j!8!%jz*4gep^!LvC*SZlkwNyyW%>u0*Vo2*u?AOHnr@aF+Z zutq~F3AraBOik%I)p&Pb%kuGfN7unbL%jSieWbD}Ue%W1Vp z36Y~^Hw3J_pCYaDb;7T-T19C|er-(!us%>gypaJd$G1`YK?G17fWz2$YeC_;^z>8x z{Vma`3oQqZJ208?T`lp2IlQzq-`-ve_IiAL68u?_wZ%y#N6ks7e%(tWuIS;8wBc~3Y9HpM@!=suTv>{Rl}s``2Y zu8WD``GA~g{H$p}2ACcS@ZW90x2uKf<<7F|j0Iz`0F>VC0XB56dHX=BA9h5Hsyc_h}gj*8KG2~&% zWd;#U+vuwyDbPw83fQ>!N~6xmmDYR|mHn&Ql8cv|-ma3pQYfe21p9G_Z0HN}R=19ggFkHW6p7jSUNoP=n9(>c~*2Wo= z#4Uw=lN@W-E{=J_R6@4ZV?r70RsRh!=D z&fI?Q{O5e%%vg3L!~W&r_j8`{ovUwd{l53~bBNf3elD1Yv1kCKdJ>q#(~7bvM3GjN z3z0!p_@!iq4ED^$RnKMI3W`a%+!74Daby`p9-u~*DA5igOxjEwLzErINL%Bo;x zkvSa7s&fkO;1X6b?NsGC(iuEfV5xKk!3KHG(L~Bs*pI0ttPhh?nHj2jP;)m-RJbsZ zrht*rUg0W{G$^bQy&y$?4#?o*F^AMg=600S&dMzCj5EaBo8!oEN0i3_iy;zY8jJrc z$-J7JB<3>2L#psnSm61{0*Hvw8=;O%iVWm4k`K$Fxd7A#o+1YX(F^8Sm|7$xT+Wzj z!irO(>f&XGly$P=Bqvz~#l~=El1gvoBs*M;%W6%2$59Ve>0peYN`! zY;Nzk46i=PI6@I~IA#KsZD8mMhHX6Zz2hei8jU0E?N_M{0XSNMao0AKI^3F*r}y>^ zG;P}Q`eVO%5OiMJm?_0Nrd&f0{p8;d9@)~`UcK+Y7Q>{rZ>Vu@b_mnzr`tmQp?RPF zT=j|l`**zd%Jb*TiV{I98!<&@deyWs73|>>rbJkm1@0-+)xpYqM&>z17GdSmh7s7e z0=rRzER>dHjuS|q_A0EMXDo`~CVFCS;ZQ0B0I0d;gR_+A7nFt3 z03j3)2uz`dMMS9x74M0@Lk0L)Hdh#jA_EMb71&9P69y!~=fGmqVI`eT`KX3Pi)H2I zC2G51D6CPr0#FWx2PIC+fD@@HMR979o|zgN>KYyGM6)f-M$8Hfl~?Wm?SZ#etbBamfz4m} z>SzD{AOE_4ux&(JKQvY!icPwvb$8zV5kL&D-~Q8||L5UT2L?x5qEXZQOjjnUVbl7S z){|Sdzp!oFTidp+JAPtMd&fn)bI9+ILA2jS>P0+$dF2f z8e87Ys)C9>m*fq|kXC&xu;ZLOACAZDJ-xMtiQ!3?NoUXw4)=|lbz_=-6krGwhpDAz z@tMmKiHAw~4C4cOV_z&WOTadn7cD}h(hGU%vdIAO85qKm7h+e=|t7 zsiYGxNs6yu_v%x>estYis~%hS$n(!X>2w-N7JwTNFPa$VrRg6(^sl$w_TkUmdHbE8 zzU^!O{CA)F)UBVm?E^q}-}{Nb`O>{W_uhBM$3O9rTR-;U$A04nG>7KOLo=NoM>n*(_6a(i79`?Ms5UDav#t7NisDjbJRSTCLR-N5J(di z83)b?bCpE<@YHa6edbzP;AsqG1eA_~HbK;fYqBd$GpbiEM24o;(|`=ud=n%KTh96! zX%3JfjdE$63d}9d=bnD%ze>xFZ`iVa`4f+C+p+a(?d1ap_sshog_8BOkV}S{hsSv8 z)ZraFH*VXp9#pMK^ye~J>KG+5Etahlz4P<7-McqD^UPzfzWQuQ$>F)VNorUl+C-Gt z1aJcYu(d(QHqblt}H9wh}yx?Z!H#$Oic7>i&p%}h?TG*CXwF0 z$*AaS!@O6@zUk7Nm>4>6VC$+?Ps7c&wO3Q44a$*^L#^4y9aP-)wS_e9g?SA{=(p}4ow*O+&8$WJsJvL^j)tfrQQBRTyq&c|j zw9{o78tm1K4f*DrQBJ`aRksk9${4nU!1iAXP^ zOB$KA8YD(z1@?1d(C>FeBC}L3&6~EE3>zpQ1H*(VGKiQ(8>MyzEKi+Bh78DiWW6YI zpth#u+2Y^gR{^qeHhsx+n@e&EO7Z*Hj(q?|T~X%XC9JUZ=mTU%S|IUeNObZcAP zh5jSvxqI>cjC7(Q27R9ja8vkR7Fy#tUX!PYH2ZQHhO+s3qQ+qQdJr)}G| zZQGu<-97#K-tWDL?~nhSim0m0JUcU1M4igqx%XNQ`uZreSgEVz1K9(j!|kU^TzI+6 z3pG8_{lc<>F>KOU>66u8$M+EGpJrTHFgsv68n(7*hPV;B7OaT{eR~0#gV3R1$8MR0 zO0{|dF1LI1;l|L7I!c9+CdgyK2D<8M*e$o>xSQ+q`V1g5N3MuQ zE0DiXlTz@hXlTx_Y(p~nd`!H(N6`ux>OfED-}LnLk6(Cx9MJ08-tZ+^db_JDZpS#g zuhKHsXiV-$jQM*$!#A)=LcjZ)2-H&&M%1yK7x2e(hU@7hO(Si6$ZMntYvoNJuj978 zo^PqA)Nv~uDy*z({a1*oWYOSck!tCZL9~u{pT!vZT7Gv%<9&joGkBT1zAunM$tF?h z`<6Z!Fw!)ui`)PD5WWv71m5=V4nJnHX>!tCBl8d@k)>E73+?bZ`n<+TM6xK=JWUWi z3S_yI&xG`{Ui36< zfYGbv>UCZhFV_m_`(3L{}n&ziE=>^&8Y7}RsUzhX{f@wXct53%=#`E#>7 zHpi^xb|PRkHBN^1;<$MFtDpgCaf#i3SaT=5ej{5P6Lt(?XU_UvUA(L==?=%A>a@xX z8({J~nUsp6=tbbEDi?x?&=I~pr2Wb{=K5nEtceQUUXP2O9%F(e*^u(PU#ydeqm_jhTlN2~i#nY$^jAK0-K~#U5oX0hEoWD_{0XlYUat zp|1A@SKk~J^~Z5w-=VJjOqv{JDt)){?@eWoGBf8|6gv|dh~yqITu0=%6dv}GRF61o zEELlOk)^*N8HUVPu8u7Ze$fUZv+MYn=B;=N*!#dtDhZ;A*o z6AM=oHYqcHXb>KEThE8;V#&q-nx(B z8TI|6_}-W&AT9F0Ve(tfoKNHMs5WdG{=_e(RS7U^d*(+-wpgZ!h|otW!&|yc2M@Z+ zm*SZ*!2S^nCbWvf@rtCkR?^ql^{(+L+vC?OKt_jvkEx=XhRl$~wcXSG?>H5pN4AMw^bvEnrG(0)8$En7V;E;jBvVB*VL zo;iBZ;!23op65vg(_=+>Owh>l=qAovtXZ*HyAE~*lxIYxA-!@f+yQM)KO!uv>GN5$ zuNw@D4fJa?#7P=@>Yd8Bhm&_oz_MZ79JuVmGGk^99*Rz03V1F;dGr6B-n5 z0p`xN3A|o+2j&q+vJ7PfU23X@p2U@6{9PswFd_Rp}UAO}?g&Qho&fM5?P zoY^uMdJ?ueY_HNvvdv5jGGR*#AOY=*d{OIeme{*E8(M!(n>h@III4aX9&s$0UT zQGvm=>Yn6|Pw8<-v}mG+%;ttkq|=Ql*j?Q9`l$kAhJ%gFl%+QtFo!Wvju@dzVonQ# z0i-sr8R%CK>zSu0j2E|z%+q)v*J#}i`?O^bW07^}h-DuAEaq{TuwSAolc7%&#F92j z870sKP8mZUgSuU}3$w=cpfOvq6m!r+_ey}!eiP??l==SruyoBv*M)#H@&;*-%D)d> zPhmS<(?CNfvhMn>mg_|E?1o%@b+`sI)6Ffqu_Gms6C!l%30ZXTlSZPH?@IO_>^zhA zhXqHdpcrsN1sVLf&*S<6LGB3xKQwz<+mgP6Xa*B$qjFhBzkCHg)`PtU8UN`{IM8DE zb8ln@L@rv27a%PxHYRSmcQe^tlL`5f-m%kon#r=TuzoOLDH!>-i%w|zUGH6PamE0Z z>~ZlNK6=~UI?Zc}<1R*_ZZ@7~_k3J;KCQ$BZ76SQDESo^88;QXS1A=%;}g*FGge z)Hos(FrAy~ooH`SJxIu{?Md0E>yh`nq{*}YUR=#tyhDu{9A~`{2MfbugPADK(d^4i z?xY>;E0F`n%wL`FO#^=w}_Ho7af5vh3tWtZv{4fF8o6);|QJi z8^AH+m1*J%t^F$xf=k%CC98a`)%V5feMRw|7$cA4PfjJTNY=@a!SL{u*%eBhK#HNz zQXHD{xS6?*ZZ;hw&fq@RK(KP)p6uB=3AAhC@sCj7qkAq&6QhfmX-TpTBI?LViuB`9 zLXxcNFfLshT3#TqvkXfP=t(@Wi@?VvbD^Uo;-V;Vah&9zAz#Z_Qnx@8%4u`1!XX8^ zSXfHLnR&0G@}#H8Qv9}$>p1_5%9(l=*UeVjDoTcW z4VQkzmF0w`bX0pdCc9|um-$TrpGkt<`%!}TJv#q;bN_$pt=sp3FaAd){%`f#_8)T) z0$vyTe)l2-T~8$bcl9sbH@>Y~PLr)Y55+`s&d#&TE&bqMCb`q|#<)ECem=|Te6uM8 zUT<5S7fpp2qtnyaB9mRau)rX3`N3251z%`rrl{x!R7xNDYCMbr_N7K3Ri<;wfnwy! zddM5315FfFzeCD-Sj@@d_|nGP1o8h~+ku)$8Y>0qa3@om3=rAt>*MqZ^PlsCiqBY& z^(Bf{9|@Zvs`!KV5%FM*Pf@SKex8rb)3Lb@Tha$HcS|QKh-m;bqEX$1sVY?5{}5Jg zT{s-ymoV+cO!mbrEvZb+q$@^`+EB5!B`4tn6W@*SCQEwq|FF2>5GpO^d1a7lxc2=0 zSy#Y>n_EoN$kw6!MnfyDsMslf_5lpcva+gQ3p7z74{Fr1V)p@wgmB#)-8%3fb?jYt zMRATZ8?RTP^-_nv_p!o_W*SswmB}z~pcEs-^)7-P{>;X-rb9!8Rm3T`e z75V2?b{7K4O;BsPSRto8r?W^{wq-;eKn&PZvRXG=m50VlK%_Vnm)JP81y6yB0g{B_ z3s=nx6W+jf;bQg*R7E8*slgnozE5D>?gmiDV3C=|m3K{#Ls4eCU-iL2QHEq$(dW*7 zVwY=!45*-r;E4{pJ|qzQ{5^^YI?Ea@RY&@_b@4V^>`0@i6$;4?Bj;FV=^8gi7>5#) z8;ktFB&D(C?CHr^2wXPSRG`bH)0$ND0rGl)SP^_+Rbn>o;y>7;)rl>OV=Z;eH>rJ)gMu{>;% zNu_V+b4!W2EvgBkC5zv(_*7FzvMBpkDZggC~^3#vO$6ZWPe&Cx6OA2Mg;v&ffV?R zRmbp8iGy+;KHgOk;jaf2GN2$>EOvCr_J}gvs6zh9*x2U|^GAzGVr34v5pd1c!a{h~ zuP!)7F^xEa`26a|BXJYOFEA6yip8Osfaih(!0vg>RsB*H2P;GaUm3;x->sSKi)a~m z<$g30-DO6}GcDg)f1mG*Wp$c4#EH^h`#+%(in_ijX4j3puKQ$$*!~|p-*tXp)N!K+ zPuu~+D%k?fmJgNdI*Qgaf54}{iqYZ(o|a~9*t-eIJec5p++MEX2tV@4a$!&p3RY{h z?=H+!G|5K=L7~IU(p_wJ18u|ZfT(c{VEso%8o^ap0K#xW2RwwY0j@gc#8k%2a8a;q ziW20q!Epj_i72J;e_=uFW|u;w78l%_OG|;^m^Ij^6%KpI$-`0@{M*`IBbF;x&%j+b z48ymL*yHA%G#s)VPzTSApg!!?=)F(YDcCmhJ!>KKbj;Nk^9Q|!$Mo**n57ut7}t7? zw*y6ZB#VJ{?D%h7tS?mKvC7Wko++#B`Gb84h9J8*1@~aX{jKb}msWQr(R^gBRgJ-T zf~o7%=JNpTp`_YCCLU0r!geW`RtrTZ;01gZa6i1FVEt&fTNjx?s;gJ&U0Tk5PSOf| ziqLRGDS%9l-naV+3^Oyse5fc|uhY`i8qX)`Cr{ZD@lay9Dmb6{$nMVPF1XgJv371` zUA`yvnxy`#zK@uplK6Z|C6Bs1a)Tjw^waU6zi7AK&4nO3HL~ z{;G(n)3WX?YuPcmX47vAlw5JUzV}^z7Oit1AZP+3{pPimGvSRrV@h4+M5zlynE^fI z_j#>eRcF|2`;z;W5**$N7uOWjVGEUWCetAXr^30l4wF}sCgihx2Gs}CoAFe+lSc81tDtd)T?)%n( zBIEKEQ7UGN2t=|J<|kwzp3sU~<0gVwM5Q5^ort=MvPc2r#`|-e;F7EEU$*VVO0{;o z_sa+jMwEK3-?h#$6m|mGED9=9l5w%3g8tx(|7}dF-$P~f=I%z*aZE*p5%N+hDX>V= z5ayy$quJb3j_31yD@T>q*T&+{eweKJ2{hBUh6;LN7hpfa`wEA_??U_M=^3QK;pl}= zoHoMicO3$cJi&=JzB*=2jJ4Tnx}G;hu-Uplzp&Q#vHr@q&Oy&e7Y0FHZ<08&!R0Br zEh=E6iu@%Z7$>me)l5^tf-1g6cnxJ{P3HzmK@rHu%J=yE`*3340v)$@= z(I0Qnrc1UJaZ?!>6sHrv^LP<#6Yc^Km+$gsmn0LZIhg;i2Gb zyc{YsEJoqJj!RNo<5|#fZo)5%s_W{2!Ma02;~XU!g$IP9bekeIU=KhC7kwdyagt$A zki6V%b^nN+HlK{j)IQ%`e?19vUs21V(R-U{1T|n9L5>fhRVBxCq5C)~mxO!STh;+NB&z zn;t~S&6tuLi-i*y>(6pvT#0is%EOkk7Hq6dXyozXH;{r+nFpnkPq;n2!G@9I3OJDri~A`^EP1NTeN5k^M-k{ywDn9KTXID zo)DyB0JuPA6ZtIK5>l$FDSOHR9blFvAbqHC%Q;Oke;@G@%7QmQpc@UF;ZHX;6YgmnB(%AB@yPo|eQf!Hoy2jK@O4 z6hZGiHAof!bean1qI3_tE0cjv!1<1g| zxBR4$zlC>TNNwS55PLYXPj^@vfX|h+y!|MV*=Az|CzM|{ZxA-cn}wtuh$yq?&9|zn zpfxw^LV@B9Nd%J>kq#>mWP6W5-YP4-(M#f4?`kyro^IyNq-OJY9iMA@$PKA!QgSu) z@g=}$6&fMi${moHrc2$TO`ID2IE>%nD&ulF8|=Spel}5R{Xd>6V8}4&lVM|;5i;_WIPOI7v=boq>*;ESX8lg*2?Mvej3swY^_&4das{Dp}=~b$RQ+=J=Vp&$I=%U~XDKYPog8fjzx)S+gfp{!rHH&*CBDo(N;C1jg?BLMfex1n-hrj0Y zeWygjwAlAD@-&t_dW#v$8zT|~_wf3eCz)jjz;>ktwu(EqYFg@%@0+}_nuJA!Dp}nF znCMfB;3l}N1?x?jO)gQL^9u7KlmM0)(-$osw?MJp|Tc zj|RY`<`|@WO@$E2)EYS52>J>_^J*KYU>3-3j2oHUF|C9^>I^}s|) zTyn{zX!W@XQgTVJWGvvWmV$B!$FquoLp=G#U9B>zgpB2wFp`HpD_x3d^jx+eABxB| zB2rH+OjW=^Jm#?EJ}uV%W(CR&@bj<~NMDe$EIp0OXoN*1)xsj4!plfk97S0tl&NDA z#pkulgL+uHWW|BndzcK9jmrb>#VdCD<(s$+RuQBg2aHR55VSfoDJxh}(~pNKrv-2Mi?`!RZAI!N%DN?Ew`~NFI|(_3e=vqC4>CP!5fZ zIuXg1%?t@el}Mw^Xegh@7@H?;RT)S+$Y-pDkQsoA0%4G`B|gaYi39|XhNTLFBSWK! zFbLRG0(>Wo=He_z!dOR`G6!KH2aSr!Q_v9`<+L(7YF*&jpWvHb9$P31{@Nm?>F@{9 zhVAQ?Y37E!i=l?J4vs#vvbDs(T1Urbd%dsD4mS7OOgRW47LizD(0F3O>ltxoI7qFB za9o~YU)ucbT3hya)!D^=3dUq4fQbVW4ihdU&%8t|(ssK%WM75lj!1k}m&LdP3h&LQ zFXRqCnQAlDL=mmF*!>+9`v}lU;(^Q%I#Vtht+ZBf=u*JFu0=2ePzH}5LT+(i&=nJjb?Gy$XttSPz2^36czEH3a*|^tM zfoKKj(Zkb_C)MHJZxkOLeGATHnhR+y6%yTW*i~wo#SJ?c>p}E)5xVUesun?E5u}cq ze_Qty_}_1Z0^a|I&BMgKRcNKLWE`C>4e7@}eqk*rBk~*K5CX>w4ZV>gYUJJfgs9Lx zOPz1YB>>GzF6;&oq9_B?rI|7zgM8q@ti7Q349HKp&$L!*&*8E(qcX==q%qzpuM!1k z=tRKQ0gVNiW;bYPX%^VRs03YEc~F_;fvFoZUNK3F-Z56(n#_8iA%wzK5Xbw-GR$hT z{7I3sM4L%UzN$DXN=nvUMS|Stpjb{MNt!KH?N3P7S!>JXi71{Jn!di z1H^=b+8D~#6L5Ttqq!q^53E&Zld3cCPieBZ+$3y8PgRi#;mN}^Lc!`Z5ij)&RA25# z7>h-Tn2WEI#4Ib2iT~?>IhdCRl+?=H_HS4`7N89&ayc3+JAUQ<&(8xH;DAtx z#8o{O19eG>3k?ZR3`Ih0-30}OB@+1BgfAJS?>I>OW1vrHuVTUB87LA*J82HN6LbEs zD;0G|QZkOz;(?XCUMqdEUtX2*S3kHr5sU2>_4v7tAP~^_Gx0Dq3 zQj(n6l+HqY)J1v{8cV(;U9|95N+_Mh?jSKtbT)mH^){XT&O^pY`QxjE?xe(O-5tJN>jW6~6qsMp224fnkdK9uMsJK^=dd@y~n! zSiFu$u*|kS5LU=zliKg7u>dC<0D9(6^pvV4#hi5|&#vg}$rxfD*rvb2`wj8nLK7-8 zt-R<|A*_NrT7%}l*7pLy3D*K@>sSO%#1AkJ3x-Pf z$9_Q`-i|YQN!K}3nT(N_Bpnr{Wf>$M>TCpU`!ujV(JEQUY0ps|U3fggu@~UX@148~ z=I?10pkIlJ$(CzmkYo~*9Wqj6gG<<;kb(G_OB%{e*TQZ%wQR7J%0l}P6o1J_E6J(^@7t1P;I z6?8s*a1D15C_K6Fn6qtQ@fyTB%PF?ALb3&ZSgDl&r#{!u?3P?@3LsPWB2@Ud8~0D2 zWbok7P}y)J300{mEra2Q11c?PJnBpg@|i)rBFT@z)O1UMBpUsi2rjtBK32Rs_Vw0u zK^i1@5fVy69-?rGxi`^CCrnXo02)d%Dk7vjH01Bh3o_obo|e@o+XX@Uy)|Z=%1djp zMdVvNO=zk==W5g;UEowmJ8H_GDsn~Z_~72g@-JaGDP`(^-eYxrpE#$^^L2N^SJSuz zv&*X!q0Krhocfuk31SQ<a=GDKhn03Qith>AY#`v={w#c0$_-dq2OEhDhInyg$xZ@DiH1sokTDY9 z%7(;HiKMeXh%pQnfdXL$4WuHNr8x5l*aMXB0{V<@%s3&lB+&&sPS#X#-3HiKCe#29 zQUPWSn4y&edc4q+=0<(l`@hlmu9-2Xg&{pSE{B+$z*{uQ2bh`Y@kX^zl#yo@gKSlg zC`|!OoOm{pI@l2k6jE;(N@GQJ4&0{GQIgW=w+@tIQ<6o{>D2Xt8mo{alDwmRQedw` z`a~aLAfk?>V<2f`ga+clQQ<+({iU*tleELH%mV^b>nG++!b9&wAjU6_-qg=)NgqnbKXpq}arbipqOryP1_=R{{N})b)HnKc>jH=s&|ud9iLgMzu;p1s)lc@bQN!gubqw zs%`wjG}V1O8$*bOhMkxtLV=SoCJsD_g70 z^@aAvd{=AThmn;UJh`h)?M^o!-SS;US5I3xlFO~-t@^_1i&i)~9&BxQy{?9ys(ZE{ zZJYjDt8;b^_M0;MEw^&7ul5Qy^9emJ?fUc2;^yUM976~1m!6C;I5o{s(HC>aKw3g7B-Ul!bNtFM#me_YYXJ=Ovy1A_bXY3TdNx`Eu6b{b3VUlrvJ-z(wl zn_cb0nOvuFq-pemnDDxJWF~gG^}DO!{vGOqP;7X`wz%NcSijl&Ui7rg1YhZfTj973q&=jvH#gy3 zpK~#B>Tol9wfG;w;woYB{H}t*(|OvU}uLn zztq>_*9A55@~H0SqW;IqItIlSTla8GBU^lxm)S|I)*chD8~QM&1by2h(XRl@!eEd1 za>?ooOLF7A`(t@!yMO&lU38#?4I~y4&&+ zrS5)|xC?Dr+33!No(0ZU4YPI^?p$GJyvDbdZ0_2!x(+aQFMXe%8jPIykeKG?O?Io0 z@yiQ`bqMdihY!wPuOWPkIZUsQ)3BhY;fY8h6AP~VU~VLpZ9X+&u0@d;#fG)759A&$Od?uB%P}gB^756fI$OE^BP>;e;B?EEDiTic->S>Pv$K zl5000Ei#^V+$o4@u&E}1eFtLHDDbXGhX8ZrGg0IS5)^xD9AT+<9r8d^l$~v*Q!mh(%4XgNtVMFQsW(mzQbI1&eA_UT;5|h&olD< ztCp!)knBPH7?*(pVnK`JX#)6n;z5lIk^e_nPoLn4tuF(=3k;E0CkEPqoKmCVI9_DxtEN+}Z&Q=4a9C8`1&r*eh{J_Rt z4=4_xrN*f6z`@(}aIg+MQ$M;@S@7c6O~GE%0n0_%jPSBr;^w@!t5AfAj!V4!>@@^A zjtcUcaEtUbSpyuWA$*KGWjGm(Puf)?E#*q#zj-WiuojyT;+oQ?JX9U%+l1SYHjnmh z6$keOvn>loO|DtA-?Qmswwa)DP)hW=^HJERN@}P!18f_1k8$hMKK3Y3M)U}yT|vie zu$t1q_W}eD-)H9Y-=%bG<#tcm83Hf2D_9r|X> z$IkDwS6UkA>{hS3Wl+5DE0PpeiwsUXWFLBQ-p4EL+A_WLt;cYdXxE-K%DetdCBQWT zj-jjN1Ok0_2hu4<9O%NCi4&&4z))KbW4K zr=B%4hx~>X5JA&MMr0&8r?CF+ThH~R$QK*9@8+6Cp;^_q*-zbj^ZUxbe=s5ThiZz5}Q2@ilBx1G!R}HdDU#we*L-xwZO*gS=&}+ zXZ9JlC|ka$k=N4xg%0~(IVhrx2 zZv`(eA%m=`owwj8l{(o;(ENv|9%>S1aMMFzFm;ZGyXXxT&`D2%mk)HF%^w0O_ z%+5&9%*pg4szJ!YPS5zC4>RHa^rmd;Z13u1Z0bz-pJ8h#7+IMbyZrasgOl(-29yc; z`F{@RpX2}HK`RRX3 z|2JOD|LO6+Fo;{){NP2%AZ}ymVk&BCY;R)v-}3)KmWhyyla2X*4ay^H*~{AlRcysw zHM`>a?7IEQgq&zKomAE+LQqzcFoIEBke*&V5Rw|3RMbERE>WI}o5o5rpbWOA`7f&h2n?4@N0sbTh| z*K2}ju{AFV$NrO@@Bu+Ov!~H`a$#!y=pLrcWM&ZDjJPTOin>wvN0LNtn)hGnJ@P6J zgYM^qvqW;_lMPA9GQNz6XpTwQ%Qf)ipokhA*?S_IW6P7F+@>Hh_M_ z*1rI}b4N7|PyA$Hve@5m# zxU1xMC|W0M@ANh-k4egVouNEW8-R=LEwfaHSm`fye!2CyCnB*C&Zr5};-HYVx>o zd3m;>DNx?j1~-2s`!$*R^oe~(0VnThC7b)8j1{30Z2 ze1wCCLasfJ{icW;I^KF-9M%hahEj+kFLw>zx%u-I2S2h{6XBgQ15AY;T|u(tCaWzl zDFmRJi~6(lS!Q&TA(G{(+FaCZ6`OVK*#e-K5McVpA`$*%na+Bu<VR6ASpAYu6yXoLf)q|ekzy?&bEy85vnF7MbwV^>6~2?Mt8!JN$_Y7d4k{J zthx4s5Q(kzcmXubt1nZzC2eb5Edz~yhs7OWBjfxD2X(jAX>U0C{scx~Zcsm`aGH)Y z=h%NyQBP<9$voT(B~M@<+AUOV_k*`1Bc&L10Q%s%Vq9ZpRc$H*UbO^mkiQ^DGL%AM>(y zL57Tt{Lag+9-tS7WQznnFUNT{Vus%gWYP2~@*j8Z_o`gE^u>j#|0wafAND}*BR(5> zY2tWq_sR_i6-N;ksa!U%TIeqnQx|P@!AbC!7mB4L_YalegF0{>j@7fpjzvF!{Ypws z-kd(_xK-3$<*oq3(P;Y7xDMAc>)+upkAu+|XCE!OGi}dsxx!87H#QYM|XiO9!+KQ$DPW8Adb8LQ%=~ z;;tRiTyPAOZR-qKR&RDxyX{`CT~o^y^g2E{-cZ=~w}y>J>HXXv$6mCLl-7y;lO!zo zV+#B>W>dN&5Xn+8P$<5Ln05ZBhLl+QRMqrrY|pK0Ou&NFi@BCX&<*S>rbA6dDrAOm z!npJc0^Qf_J79O5D1t(W|4>VJmC^~|tQ*9(+nWT>a*Jw07*F|HL=H8Gx`*IJ4(IAt3*_>M&6=SwVJ7s_zJ=UOb{*F&#Kr)&2k_J=qNK7~OQ>;OO^i`7=RdmRmFQuaa&dXd}5M zRu+xcAnKLbs@|$b=N7j%eykrMRTidbv}DBkvdmzX^OeoC;JwVc&Ji=4_p||;I))kY zDHcwzRV#DGduW2L*2%S{bx^A}HEQ+-Sr=Swbgc^&pr94qK8kp(akO*3A>^^Zs|?ol zN%au(6x%*7bM86$$LEc=a{?h&_*~PO?)!`4Rl*40$U#M(x4DOPIj>wSW>=5%<0@4A zZMD(=+x=cBJzi`Lg7rlYmWSO;iv~kQNjTDW{SE++zQKkskg5b5hzB~`d z!i~*&?M|g!`I5ym8!rQ|E_TDPAL{(Yy*`_##T`T8qsK-nW$|5(K&Tqt<)q z3^(!3RTWzk2D;6z-D&)`muwQ>_scU!k}C<!}7*Yh*`xx33l;f$2XMt~;&bPg7S1cG^&R2#E2#9KhX@ON$%rRW4Q z`mSFfT}@*SUv&L(Z4ilFk+^KZJRLP8`W~scIxu|c%R>v0EeP$8&fe(>t(BX2`IZUF zb6w6=sas2~5g(YnfU3RjQe)0q>FR+|#4fZa4PZttACht2vl<1WYgSfT3drFdTWKyj z5>e$T$F60&p6tW_QS1mT6h0b*S2Pb|YsMbqWdK+MwnA9tWG}<$E|~MN1fMjfq#ibh zXh3>wKJI7^mpuRh(Kp%91QKr`>|y`F?Z+vkEWNJ6uR2B1cxJ;MH;dAkBn@#;4+vz> zlyzop(qJ$6x~1|;`FFhdOmZ-_jQP*kntX=97M1?MYXl&a;L(soe|TM7WBTrwcoS#Y z6cjxx7WNiy;J~$urqgvlL3Xptpoz+~gQJhtOWwn-=K`KiAJJS*U(7PrH>@;^(c}1f zixWB<_dYFyvTujciP^`!qUs-d7n8o^?tp5CU{=Lzag-!_d@-ceTDh3F#bCM zh@Qe3hn6bsXfCay(SWgBkOL6JD=4)G_Wf?ROkPWVF^CCkFS!t7&?T*WV7$$@tl>Wa zw=-bJRvw}U<{|hPR?PIAo zq66XMM0F;Il?yY|^Le^a-|Xq1$=IB!0^hSqo%XCqCw#8LPXuYofJELFl!-GbA3HsM z2U(iMLJ4A5-$zjUj_QT}q!b;AUbYe+(FhE*(?S9q5G?e(n&R!I5KvWK0M|gi2zyE% zl~&OnhV|u9DJy_41tXH!l|PrkY*hV+{`yt}fAmmn0L7$*na0lS69p=IY++5zG5P?* z(5Q)JM>G+-@bu^;p$DXVm=8(OiOzqdOHc@>WOCix)_tm|(uw@v$#F44P6p5SW7|!c z|Cn$6y|l0I7j8$(cOg#LY&lii)sWo!ZnyupjA`UOg!gpoUdF8Gy1ii2r5Lc%yE0uH zE2=7v!?M*yQpm;|8c*>-APVwbDo zyO_^j&ED7X@UJ_5uBXjs>(U!+Nbt0E&np-1zrB*njOE_S9i~znGtZYM-~2g(7ykB1 zKqCVnD|c9JO5|P4XnSBkP(4B_6IZn(5PT+b@JDlJILStk#jlY^^Y&MW>d|jaZ+)0G zoi$(v|Ho<8_zXabb;-#|nhQK#s*L!I&&L(ZW@W{Y+S|YL=Z)h+cKeScwAvDo$m!|{ zrBS~5T~}msISWG}5^?85b)%#&JC-I^4?;tK>JHyOi9>=MsV9s81p;qjq5p|_*>d6G7M zv-P2$swr>zCc-j%J=s?1Yv_A6^wQGnJEmS=pkDj__{c7!4q<{+E28T;j{_loaQKYU z>fh_Y+M&MCnol+Vh}Al}XH}o?rk1wdFFBjryRda&EAFurl}MdvmDnRItVQsT?3{?4 zIg7g4e4Pj=I}+*k=^o{1qZ#G6w$YE_C-q`dZGF8*V*Wyge1iOq;geOaNC3Cjgmm}|I`CI9ca`+%8#anX~Z*9TG&2i>ilXTTNju5awu292ZnnF#2aP zEf>;^FFv-Mdm^GgFEPD%A49w9fJq%@LGmX8=8za~c4UyRKoYAKohz#8PCVbb!N>oH-ohbIYg8mQp_?kv&=#XV|H=VChBkQ5Zwp9}qoZJ~d>RdA9p%u{b3Vepj$1 z`n$44(9TYzYIDCp2p_dbTG5uTG+XToYgPARv%*0{N5MfK$VZ^pLv^zP_72DKGSy3M zt6G1Y*8WJ;d{lUf>p+LSIw1*(8ub$+pGzhkvG9I(Z>Rc6azBFd8_gHR{jb9-6BL#D zfYjO+|IyfHf2C%lV`MdI2zh@W@%31dT87+0lvD=Zr$$t zK_OYsIie_YnkpZ%%3$dV@TxGlVafu^M5FmmT+4cd% zSjp}8Q|ZQ62oVmO91ab1=A~7|!vt$y+mX&UV_f879Z<3ynB+KP-=cJykieAWLQ&_o zJo8)oMXPrm@M+Cub8W4WRyWJ#qE{Q04>86nft(2pVUgkafvl7jSIIyb(uwW^`Omcq zO6#$dN=qGc8`T#&IAjsdjsSNO-@c8xzCYovb`};LVd6E`m@=50w1iA^8S_b@HKezJ z+%-9sAadL`8w+ROxU_SBCbv?sFak{nuopq5YQ@hiY31O`Exjaf3Qx{|uP0XNWS6Qp zh@BJr!bP7f1yEumls}3~x^Lw@<%8snG3jMqrj<;)O)a7?s4B|kg7nf+)Bv9ogf{;w zqtF$kv6w|L9d^_Vq+B`)@NYJYGQim{dZNjsp%bl930>QXaiKUQF1KP#p(m?vewXP= zp^bxI@mdwHg{_2w8ds7cB_r!cBM|>Tja_*>l-nC8q7h;gvdv4@EMu58OIe#i6k=S7 z$sU<8#x)|6i75MCmeQt>t+Hgv7NxY1rHGNDOO|eyi}<}W>fWw@=Q-z}=lgkwB;5bt%QfZea-I$4bS zh*&zO=Di^liYfn5f#tsDpbWGP@TfW)sAmix0011x@kJKu7V1iu>0{5a{8{Mt-Z;*quNFsB zUO!lvhSObpLZTgqL*SGW{8^~esiIsZ7$`~E=WUst@X_VtENiv z6Btt_e-uxVUfPOab*JZ=*2>q1vObH-7x2=T&d^^Hc72lZS+tjwB!`^p3EBUt?JLLI zm-_y1?+5)x{NweT!Rsj+k#i!#)tmnyZTwEmu#iDH38HL{dy95E><_uhS+Z?hM^Zpbi!e7Fu-`9!a|ssF7z$fksgz z{F+6KxSn>@$(b%lhIwY|bf#F=bWN$X7|C(zsTBobYIM4s^ak{FWh>y1uUD&`_lyZU znVU2=Irb!IETsIYBY|N`GzpcQ|zncIaKYd;IE~>i)WZ z8_#ZBP<2qS#M6Xl0UuETT1(ZGuK4Z~Lid97`)UqNtezExtT4p(pkcNo_nv%S8r?Dx8-@JkfVA=SBX>eE-f1TQ>dZr!kaNk-|V~Z z#*AGGV+Tx(&@O;S&AChT#9f&!o{q;)8>fi3q!6L|M-YX0d4l}8_wp&*lVg=d6usr8 zA9N_hjbg*z?V5AjIv8=!TFRa0es_7D8zk$jI_$;!%;O6!_ocCAHj8dMaDsE#L1B4b zM+i<;yxOoW@l@Y_{kg8t(VdKhk>$m~+9c;uC4#elO5SK|sN%DT&-wVthsoPuTCkC@ zMC7XgH$@!BkdYvAwsGJH z@0#N$mFz*zn#^oj$%qP)o2p(URa$8cI8Wj)1h}b0mCm&-^MTNzAq$Uux#tIS@aZup zupv?tvdfuU^jdc=2V~g}x4YtVUKK39wv}pq)hD>bdE!t{(l1oLspy?E_BD)~Uvfgl z9R{y(Dk~P2O+V|=F;i)se7u_KSXY6o&Fo2;4lY{~n*Vl1K{&oTVK5GwmaM22upRz{ zrtP$;!~aIlpfS~2x-sPaa$jT($8|-wJ)_Cq^uw0(iFMbMx)WdbrKp#@vR~mE8fa=T z%(Cw~JP8|>=U3c$E!El3>1qs7XCL{N^j=7!q|rMbQJJH!gsOdxjE^sl=3-VIQKN^A z1g@1fqTt>1&%ZFSGVn*3a}1s>Iudm3T;Uq+_rhFEyqc8QaW9xwRYk9CYh>Apuh`nV z$NMgPHsX1^P-Gx!EZ)$3UeYk-1|xS~Kj+Pw_w?who=$DVVDOsuu8(NF@tre4P+Fg# zKeDdvrqft>SkL{oxhk}1q2Q|zd+D~Yv=~NJ{py%!aZt6)wC~#7G|$}^)?u+yHdBCh z>v8IZ@POjOkAXG-FR`dbwdhXFqC2(06L&u0vu<&0=NC5+EG6S1ju9F)+fKR2%zm-f zGFl|0os&fo@9*3F%c_ZW{0UyVNVUV`#?`l_4V#HG!N2Z}zqhl*6%R?zd1A7BQno{B z8Un+ecHdSXWIm?L>*@`D*z=HZyH3CN-A@Q&sX*89Grkcs;iOy_hjv-p#*>D~uQ2t( zij{aF>7lN-TM&1IMrtqY`9oXQZ?f5ja8As>y=YfU5U*%0>}+q?WY?OsYy>BF__N% zGtVU!qa{~0n6ZpCzUJqCi;ej_hIWS~Q*QBu6-A`mEneBNTFIDRZVuW^In-3y zPhqZj`CsAbd>=WszZv7aAUbrnske@)3UM~WW(cfxY;*2@I0jw)EwVxFbOkT-IaI&7 zZLcGTr{$1Fr`qYR%H~^E?^{(Md5@Z53ZZgLS4emwrJTo7$_lC^FJ*Nmp`4k?Xg0U- z$8zdli1L8I@O0;<5U3!5Zr`K>eV6`1o_U$Ur8wy`58nNk_ntH(HgpBN34G;)bXwK>FM3R1-m1z7u>o|A|7j+ zmRCFK7TXFH%_==;c%8uqN%FB$Cjz<2r7oPh4x2u>Zpzo?|6So}ie|4sK(?l1v;#EP z>ugr>3|;l9*I6w{mx8V$Gs$hSnBnaak%^YLVU}6WA@j)W7UMD0Ox_}SCXo^I?Ml@S z8A=m3WPwm+-?ZpoBR<6Uo>rx_$8I1+zy$heq}_F^iv@?NO^NuRz|?%R)2{p$Cn%pl zl}%H@5WQ6y(lFa34K*FfNrIa4FT@HT($sya5EVko-0GL1d6y@u`=JY@vMhJxzMV35 zOJzLc9K!(0^KL|h>l>wmBcZC5m&@OZNe-(r2*-j}3{311lOc%17I%vWXaa<;b z_`@}%moU_4&WAGA*N&+fi4N31%1bjdF}s$LHTyIm>g#}hrNW4-ymJ&2_G=`bbb&+l!#3zo}cv}jIW=BzYnNrF?NYh>Mrsw z%aQBNQdJ22m>2arGW;Ur!m8MF)zrDjrKuzMF@3!bO9v4=cQTjqev8xc_%oL67i_tq z374UW%_q4(T;|K#QLxpvCG6DYa&DCtOCXMzeU=i-BLHA$_`4(XojK1qM>x>Gp670m zlE$ul5s!3+I0`nLi5#|nG&Q5@FCrBNHI)iW-Zzs{23v8{6$yh@wlI~Lz;K4EC?}|6 zys}1tZwkX%3eTv@HI_V7lUrim*}U>(chSLtv{PC0&l_;Hf z;OFV<4rsFV3<6x;{b&GA3(M}Zre^ikK|TQ+Fd|X#?%tkWG`1Nw1kxZ**a6seeO3qm zqr+M}$QE$d0)^RG3ufnct_A}AQ)>UU)pdh`$7n1v2Hc2X%re0m)?$wsk^gH1KQKpW;d3elM{=P@!j|TkLy`JUyta2^|^^3 zNc~{B5&pxC>Ug%d)|1AK1O^|BRRHK7cC@Y6c`df&!+zc@(g=WHU2oPuE$nCB$$Cy4 zBzd~8C%3t`8_N=grSd&{jmUvuoDe@7U=9CZt{8#bAn?op%C01DDj7g%U^T#~D0|Up zls#}b8}y`-C|=&K0UBf~_*azd#UG@S-2z=fe#`%__LpZ7EMCr!`PX+~L;}wk*>l}C zYd65gqd=#+d+>qB6355p|FC}mGztYWcsu|$h5*Bg0@YX#;J1!xY9dfzvTeXv=tc~I zLW9@M&$Vk71HUBv;Qfq@f1Qv-y zZ?p$u8~295qLAQW`P*7p81^5!C=4qMtmlWXK>um8Qg8!?ME + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + PORTD(LCD) + + + + Power- + + + Vcc + + + RS232(to Laptop) + + + + Tx + Rx + GND + + PORTB(DAC SPI) + + + PORTF(ADC4-7) + SPI (to MCP4922 DAC) + + + + + + + + + + + + + + + + + + + + ADCinput circuitry + + + + + + diff --git a/thesis/figures/block_diagram.svg b/thesis/figures/block_diagram.svg new file mode 100644 index 00000000..ec38bc7b --- /dev/null +++ b/thesis/figures/block_diagram.svg @@ -0,0 +1,724 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ElectronGun + + + Sample + + Sputtering Filaments + + (Vacuum Chamber) + + Electron Gun Control + + + Computer + + + + SampleCurrent(610B Keithley) + + + + EmissionCurrent(602 Keithley) + + + + ADC/DACBox + + + + + + + + + RS-232 + DAC Output + ADC5 + ADC4 + 0-3VAnalogue Out + Feedthroughs + 0-1VAnalogue Out + + diff --git a/thesis/figures/daq.svg b/thesis/figures/daq.svg index c6acfb60..37d037b3 100644 --- a/thesis/figures/daq.svg +++ b/thesis/figures/daq.svg @@ -974,1650 +974,396 @@ inkscape:vp_y="0 : 1000 : 0" inkscape:vp_x="0 : 0.5 : 1" sodipodi:type="inkscape:persp3d" /> - - - - - - - + + + + + + image/svg+xml + + + + + + + + + Atmel AVR ButterflyATMega169 + + + PORTB + PORTF (ADC4-7) + PORTD + RS232 + - - + transform="translate(0,308.2677)" + id="path8483" + d="m 27.274119,148.10448 0,25.25381" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> - - + transform="translate(0,308.2677)" + id="path8485" + d="m 17.857143,172.66591 20,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> - - - + transform="translate(0,308.2677)" + id="path8487" + d="m 21.428571,176.59448 12.142858,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> - - + transform="translate(0,308.2677)" + id="path8489" + d="m 25,180.16591 5,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - image/svg+xml - - - - - - - - - Atmel AVR ButterflyATMega169 - - - PORTB (DAC) - PORTF (ADC4-7) - PORTD (LCD) - RS232 - - - - - - - - - - - - - - - - - - - - - - - - - ADC0 - - - - - - - - - POWER - - - - - - - - - - - - - - - - SPITo: MCP4922 - - - MicrochipET-MINI DACMCP4922 - - - - - - - - - - OUTA - OUTB - - - - - - - - - - - - - POWER - SPIFrom: ATMega169PORTB - - - - - - - - - +_ - - - - - - - - - - - - - - - - - - - + transform="translate(0,308.2677)" + id="path8560" + d="m 115.35714,191.77305 80.17857,0" + style="fill:#b3b3b3;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> - - - - _+ - + id="path8562" + d="m 130.56222,178.91413 0,25.75889" + style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> - - - - - - - + id="path8562-2" + d="m 146.70435,487.43437 0,25.75889" + style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> - - + id="path8562-5" + d="m 162.08887,487.43438 0,25.75889" + style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> + id="path8562-52" + d="m 178.48355,487.43437 0,25.75889" + style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> - + id="g8836" + transform="translate(-95.479721,-0.11928)"> + + id="path8560-5" + d="m 212.08162,500.03958 80.17857,0" + style="fill:#b3b3b3;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> + id="path8562-20" + d="m 227.2867,487.18066 0,25.75889" + style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> - - - - - - - - - - - - - - - - - - Switch(2 pole)(Amplify ON/OFF) - DACOUT - + id="path8562-2-9" + d="m 243.42883,487.4332 0,25.75889" + style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> - - - 0 - 1 + id="path8562-5-9" + d="m 258.81335,487.43321 0,25.75889" + style="fill:none;stroke:#808080;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:3, 1;stroke-dashoffset:0" /> + + + style="fill:none;stroke:#b3b3b3;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 1;stroke-dashoffset:0" + d="m 105.35714,442.36218 13.57143,0" + id="path8753" /> - Vcc + style="fill:none;stroke:#b3b3b3;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:2, 1;stroke-dashoffset:0" + d="m 105.35715,452.36218 13.57143,0" + id="path8753-4" /> - - - - - - - ADCIN - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Vcc - - ATMega169ADC Input(PORTF) - + - _ - - + x="111.63162" + y="377.96701" + id="text7857-2">ADC0 + + + + + + + + + id="tspan7849-7" + x="381.27036" + y="483.48859">POWER + + + + + + + + + id="g8952-9-1" + transform="translate(353.57143,58.593439)"> + _ - TX - RX - GND - - SPI + x="138.58426" + y="590.95135" + id="tspan10478" + style="font-size:8px">To: MCP4922 + - + id="g10786" + transform="translate(-169.90508,325.10812)"> + id="path10720" + d="m 351.36365,639.44012 55.69028,22.39906" + style="fill:none;stroke:#000000;stroke-width:0.87016314px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + _+ + id="path10720-2" + d="m 351.44058,684.276 55.69028,-22.39906" + style="fill:none;stroke:#000000;stroke-width:0.87016314px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> - + id="g4478-8-94" + transform="matrix(0.64634206,0,0,0.64634206,-127.63344,543.73016)"> +_ - - - - - - - - - - + x="242.43661" + sodipodi:role="line" /> + id="g4535-8-3-2" + transform="matrix(0,0.85329733,-0.69121127,0,654.36395,692.73179)"> + id="path4533-4-2-3" + d="m 294.68032,810.89693 3.39047,-12.65345 6.67986,24.9296 6.68099,-24.93371 6.69053,24.96939 6.72516,-25.09868 6.74447,25.17071 3.4028,-12.69945" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + + + id="path4533-4-2-3-3" + d="m 294.68032,810.89693 3.39047,-12.65345 6.67986,24.9296 6.68099,-24.93371 6.69053,24.96939 6.72516,-25.09868 6.74447,25.17071 3.4028,-12.69945" + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" /> + d="m 94.359304,978.88176 0,17.5" + id="path11565" /> + + + + + + + d="m 180.43073,974.2389 -15.35714,0 0,-16.78571 36.78571,0 c 0,0 4.80094,-10.24221 10.71429,0" + id="path11579" /> + id="g8952-9-7" + transform="translate(233.28787,530.40744)"> + LM39400 + 3.3V Reg - - - - - - - - - + id="tspan14682-6" + x="110.86716" + y="495.42685">1 + d="m 380.32243,183.9649 69.19545,0" + id="path14773" + transform="translate(0,308.2677)" /> + id="path14777" + sodipodi:cx="455" + sodipodi:cy="184.09448" + sodipodi:rx="3.5714285" + sodipodi:ry="3.5714285" + d="m 458.57143,184.09448 a 3.5714285,3.5714285 0 1 1 -7.14286,0 3.5714285,3.5714285 0 1 1 7.14286,0 z" + transform="matrix(0.73681665,0,0,0.73681665,116.91295,356.80631)" /> Vcc = 3.3V - - - - _+ - - - - LF356N - + id="tspan14781" + x="457.14285" + y="179.09448">Vcc LF356N + id="tspan14792" + x="220.35715" + y="536.59448" /> + id="g14890" + transform="translate(-120,41.428571)"> - - - - - + id="g14844"> + id="g12643-2" + transform="translate(-580.67959,-163.77398)"> + transform="translate(0,308.2677)" + id="path12641-7" + d="m 790.19183,643.5843 29.54696,0 0,34.08161 -14.69082,14.69082 -14.15511,-14.1551 0,-34.82143" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> - - - - - - - - - - - - - - - - - - - Vcc - - ATMega169ADC5 Input(F5) - - - - - ADC5IN - - _ADC+ + x="224.99997" + y="810.93365" + id="tspan12675">IN + + + + style="fill:none;stroke:#000000;stroke-width:1.01808465px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 224.46429,720.77329 0,19.24922" + id="path12713" /> - - + - _ - - - - - + d="m 224.28572,775.21933 0,12.5" + id="path12715" /> + + + + + + - - - + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 224.77403,721.5967 10.6066,0" + id="path12869" /> + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 269.64286,720.93361 23.57143,0" + id="path12871" /> + + + + + + - - - + style="fill:none;stroke:#000000;stroke-width:0.99921262;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;marker-start:none" + d="m 224.52149,721.09162 0,-31.31472 -30.80965,0" + id="path12911" /> + + + + + d="m 177.32143,689.68361 -21.25,0" + id="path14139" /> + + + + + d="m 293.57143,720.75504 0,-30.71428 -36.07142,0" + id="path14175" /> + d="m 224.10715,689.86219 25.53571,0" + id="path14177" /> - - - + d="m 155.32605,689.27182 0,-21.2132" + id="path14381" /> + sodipodi:type="arc" + style="fill:none;stroke:#000000;stroke-width:0.99921262;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" + id="path14383" + sodipodi:cx="309.86429" + sodipodi:cy="390.79364" + sodipodi:rx="2.7779195" + sodipodi:ry="2.7779195" + d="m 312.64221,390.79364 c 0,1.5342 -1.24372,2.77792 -2.77792,2.77792 -1.5342,0 -2.77792,-1.24372 -2.77792,-2.77792 0,-1.5342 1.24372,-2.77792 2.77792,-2.77792 1.5342,0 2.77792,1.24372 2.77792,2.77792 z" + transform="translate(-154.28571,273.98199)" /> _+ - + id="tspan14391" + x="155.42859" + y="658.505">Vcc - - - - - - - - - - - - - - - + d="m 224.5215,689.77689 0,-29.16815" + id="path14393" /> _ATMega169ADC Input+ - - - - - - - - - - + x="223.51135" + y="647.20837" + id="tspan14434">(PORTF) + + + _ + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 293.57142,786.29076 0,-66.78572" + id="path14842" /> + + + + + + + + + transform="matrix(-0.85329733,0,0,-0.69121127,1245.402,1215.9021)" + id="g4535-8-3-2-3-4-6"> + id="path4533-4-2-3-2-8-8" /> + id="path11804-7-3" + d="m 970.18923,668.79256 13.28534,-29.52753" + style="fill:none;stroke:#000000;stroke-width:0.74107808px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-end:url(#Arrow2Lend-1)" /> + + + + + + id="path13111-2-70" + d="m 519.72348,746.35627 0,8.5863" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInL)" /> + id="path14097-4-4" + d="m 514.44293,740.22505 10.56111,0" + style="fill:none;stroke:#000000;stroke-width:1.91983652px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + + + + id="path13111-2-7-6" + d="m 519.72348,746.35627 0,8.5863" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;marker-start:url(#TriangleInL)" /> + id="path14097-4-2-6" + d="m 514.44293,740.22505 10.56111,0" + style="fill:none;stroke:#000000;stroke-width:1.91983652px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + + + + + + Vcc + + ATMega169ADC5 Input(F5) + + + + ADC5IN + + id="path10720-6" + d="m 351.36365,639.44012 55.69028,22.39906" + style="fill:none;stroke:#000000;stroke-width:0.87016314px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + _+ + id="path10720-2-7" + d="m 351.44058,684.276 55.69028,-22.39906" + style="fill:none;stroke:#000000;stroke-width:0.87016314px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + + + + _ + + + + + + + + + + + + + + - C - + C - R - R - R1 - R1 - R2 + id="path8489-54-5-8-0-2-0" + d="m 25,180.16591 5,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + + + + R2 - _+ + + R3 - R4 - LF356N - LF356N + id="path10784-9-1" + d="m 350.52293,331.19463 0,44.69925" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + + + + + + + + + + + + + + + LF356N + y="644.52484" + x="357.57574" + id="tspan10756-8" + sodipodi:role="line">_+ + + + + + + + + + + + + + + + d="m 371.60714,734.32646 10.89286,0" + id="path16060" /> + d="m 417.14286,734.50503 51.07142,0" + id="path16062" /> + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 450.35714,734.50503 0,-18.75 9.28572,0" + id="path16064" /> + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 371.92454,665.7487 13.63706,0" + id="path16066" /> + + + + + + + R + id="tspan16395" + x="10.500721" + y="438.88486">TX R + id="tspan16399" + x="10.684369" + y="448.39771">RX + GND Logic Power Supply and ADC Reference + id="tspan16407" + x="324.25897" + y="470.34314">C LC-3012 + id="tspan16411" + x="323.24881" + y="501.65787">C 3x 1.5V AA - + id="tspan16415" + x="302.28818" + y="840.73517">R + R + R1 + R1 + R2 + R2 + + + R3 + R4 + id="g16622" + transform="translate(-32.142857,-31.071429)"> @@ -3592,12 +2410,12 @@ sodipodi:rx="2.1428571" sodipodi:cy="584.98737" sodipodi:cx="815" - id="path12515-5-5-4" + id="path12515-5-5" style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" sodipodi:type="arc" transform="translate(-303.73858,365.1289)" /> + + + +_ + - 5 - 7V - LC-3012 - 30V - +15V - -15V + + + + + + + + + + transform="translate(-5.7312465e-7,19.501663)" + id="g16637-3"> + id="path16633-1" + d="m 515.3188,962.84374 6.50526,0" + style="fill:none;stroke:#000000;stroke-width:2.82690501px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + transform="translate(0,308.2677)" + id="path16635-4" + d="m 508.57143,659.09448 c 20.35714,0 20,0 20,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> - - Operational Amplifier Power Supply - ADC6, ADC7 Input - ADC5 Differential Input - DAC Amplifier - Vcc - CS(B1) - SCK(B3) - SDI(B2) - LDAC(B4) - SHDN(B4) - - LCD (PORTD and PORTA) - 4 - 6 - 5 - 7 - + + + + + + + + LM39403.3V Reg + + - Inputs to ADC - Reserved for LCD - - + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 519.21841,1015.2391 52.02285,0 0,-40.15359" + id="path16740" /> - - - - - - - - - - - - - - - - - - - - - - - - - - - Vcc - - ATMega169ADC Input(PORTF) - - - - _+ - - - - LF356N - + id="g15731-71" + transform="matrix(0,1,-1,0,1543.2786,495.41129)"> - - - - ADCIN + id="path15707-1" + d="m 490.71429,920.18267 0,20.96617" + style="fill:none;stroke:#000000;stroke-width:2.50266027px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> - - - - - - - - - + id="path15707-3-7" + d="m 497.92857,920.09338 0,20.96617" + style="fill:none;stroke:#000000;stroke-width:2.50266027px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + + + + Vcc = 3.3V + LF356N + + + MicrochipET-MINI DACMCP4922 + + + style="fill:none;stroke:#000000;stroke-width:1.02762377;stroke-linecap:butt;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none;stroke-dashoffset:0" + id="rect10004" + width="32.115234" + height="15.329518" + x="516.44238" + y="571.30457" /> + + id="g10008-9" + transform="translate(357.43662,28.580811)"> + + + + OUTA + OUTB + + + + + + + + POWER + SPIFrom: ATMega169 + + + + + + transform="translate(611.09533,35.487037)" + id="g10786-5"> + id="path10720-5" /> _+ + id="tspan10760-9">+ + id="path10720-2-3" /> + id="path11752" + d="m 1017.1898,696.98178 18.1828,0 0,-44.44671 -21.2132,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + id="path11802" + d="m 979.60441,652.59168 -32.14286,0 0,30 13.92857,0" + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" /> + transform="translate(20,-2.8571429)" + id="g12780"> + id="path11804" /> - LF356N - - - + transform="matrix(-0.85329733,0,0,-0.69121127,1197.4839,1242.7102)" + id="g4535-8-3-2-4"> + style="fill:none;stroke:#000000;stroke-width:1;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-opacity:1;stroke-dasharray:none" + d="m 294.68032,810.89693 3.39047,-12.65345 6.67986,24.9296 6.68099,-24.93371 6.69053,24.96939 6.72516,-25.09868 6.74447,25.17071 3.4028,-12.69945" + id="path4533-4-2-3-7" /> + + + + + + - - - + + - 1K - 50K - Current Measure + id="g16526"> + d="m 817.14286,584.98737 a 2.1428571,1.9642857 0 1 1 -4.28572,0 2.1428571,1.9642857 0 1 1 4.28572,0 z" /> + d="m 817.14286,584.98737 a 2.1428571,1.9642857 0 1 1 -4.28572,0 2.1428571,1.9642857 0 1 1 4.28572,0 z" /> + d="m 817.14286,584.98737 a 2.1428571,1.9642857 0 1 1 -4.28572,0 2.1428571,1.9642857 0 1 1 4.28572,0 z" /> + id="path12581" /> + d="m 817.14286,584.98737 a 2.1428571,1.9642857 0 1 1 -4.28572,0 2.1428571,1.9642857 0 1 1 4.28572,0 z" /> + id="path12581-0" /> - - - - - - - - + Switch(2 pole) + DACOUT + transform="translate(74.548237,-132.15667)" + id="g12643"> + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 790.19183,643.5843 29.54696,0 0,34.08161 -14.69082,14.69082 -14.15511,-14.1551 0,-34.82143" + id="path12641" + transform="translate(0,308.2677)" /> + + + transform="translate(907.14286,354.95929)" + id="g8952-9-7-9-8"> + style="fill:none;stroke:#000000;stroke-width:1px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 27.274119,148.10448 0,25.25381" + id="path8483-0-5-7-8" + transform="translate(0,308.2677)" /> + + + - - - - - - - Invert Input - + + y="810.29077" + x="922" + id="tspan15248" + sodipodi:role="line">_ _ - - - + y="699.54626" + x="979.28577" + id="tspan17135-3" + sodipodi:role="line">LF356N LF356N + LF356N + LF356N + Vop = 30V + + + + + -Vop/2 + +Vop/2 + R + ADC4 Input + id="tspan17253-9" + x="102.32394" + y="1033.3359">R diff --git a/thesis/figures/electron_gun.svg b/thesis/figures/electron_gun.svg index 31b4212d..552c9b5a 100644 --- a/thesis/figures/electron_gun.svg +++ b/thesis/figures/electron_gun.svg @@ -14,7 +14,10 @@ id="svg2" version="1.1" inkscape:version="0.47 r22583" - sodipodi:docname="electron_gun.svg"> + sodipodi:docname="electron_gun.svg" + inkscape:export-filename="/home/sam/Documents/University/honours/thesis/figures/electron_gun.png" + inkscape:export-xdpi="90" + inkscape:export-ydpi="90"> + + + + + transform="matrix(0.64634206,0,0,0.64634206,68.054729,92.374044)"> + transform="matrix(0,0.85329733,-0.69121127,0,874.62994,297.27195)"> + transform="translate(168.80633,129.75278)"> + transform="translate(-56.601942,106.82673)"> @@ -1067,7 +1098,7 @@ + transform="translate(-11.428571,-35.493812)"> Filament Heating + x="221.08194" + y="467.47754">Filament Heating Initial Energy + x="186.51051" + y="884.04889">Initial Energy Venault + x="385.65338" + y="694.33459">Venault Focus + x="542.5105" + y="697.47748">Focus Acceleration + x="728.5105" + y="698.04889">Acceleration + transform="matrix(0.64634206,0,0,0.64634206,409.4191,447.53734)"> + transform="matrix(0,1,-1,0,1467.3382,551.69949)"> + transform="matrix(0,1,-1,0,1466.328,606.24773)"> + transform="matrix(0,1,-1,0,1318.8383,574.97353)"> + transform="matrix(0,1,-1,0,1261.8412,574.93959)"> + x="427.37457" + y="807.69263" /> (Dual Gang) Deflection Plates + x="557.42859" + y="826.81097">Deflection Plates + transform="translate(557.7924,100.37168)"> + transform="translate(793.06742,152.32066)"> EmissionEmissionCurrent Sanple Current Sample + x="792.85718" + y="455.43979">Sample + transform="matrix(0,0.85329733,-0.69121127,0,874.51926,242.27046)"> + d="m 314.36622,489.45248 0,5.35714" + id="path7189" /> + d="m 314.72336,528.0239 1.11928,4.1772" + id="path7191" /> + d="m 314.72336,544.80962 -0.9407,3.51076" + id="path7193" /> + d="m 313.65193,582.66676 1.1432,4.26648" + id="path7195" /> 50K + x="303.28943" + y="497.7681">50K 50K + x="303.40643" + y="552.68024">50K + + x="245.56387" + y="742.96484">+ Ie + x="252.53813" + y="733.65906">Ie Ve = Ie x const - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Vcc - - ATMega169ADC5 Input(F5) - - - - - ADC5IN - - - _+ - - - - + - _ - - - - - - - - - - - - - - - - - - - - - - - _+ - - - - - - - - - - - - - - - - - - _+ - - - - - - - - - - - - - - - - - - - - - - - - - - - C - C - R - R - R1 - R1 - R2 - R2 - R3 - R4 - LF356N - LF356N - LF356N - + x="256.56042" + y="763.65729">Ve = Ie x const + d="m 275.0805,748.0239 -44.64285,0" + id="path18522" /> + d="m 230.0805,774.80962 59.28572,0" + id="path18524" /> Keithley 602 + x="317.69299" + y="767.49915">Keithley 602 DAQ ADC5 Differential Input - Keithley 610B + x="885.18872" + y="750.15619">Keithley 610B GW InstekGW InstekGPS 1850D GW InstekGW InstekGPS 1850D(0-20V) FarnellFarnellLT30-2 (0-30V) Custom SupplyCustom Supply50V Custom SupplyCustom Supply400V + d="m 677.81236,751.8418 25.25382,0" + id="path18641" /> Leak Current ALeak Current A(optional measure point) - - - - - - - ADCIN - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Vcc - - ATMega169ADC Input(PORTF) - + - _ - - - - Vs = Is x const - DAQ ADC4 Input - - MicrochipET-MINI DACMCP4922 - - - - - - - - - - OUTA - OUTB - - - - - - - - - - - - - POWER - SPIFrom: ATMega169 - - - - - - - - - _+ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - Switch(2 pole) - DACOUT - - - - + - - - - - - - _ - LF356N + d="m 69.953064,930.13373 0,58.08377 116.672626,0" + id="path19503" /> - - OutputSet + style="fill:none;stroke:#000000;stroke-width:1.13573718px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1" + d="m 98.362125,929.94906 0,17.79448 54.103055,0" + id="path19505" /> + d="m 149.72336,947.66676 17.5,0" + id="path20113" /> 100K + x="274.00906" + y="962.30963">100K 10K + x="518.65192" + y="911.95251">10K 10K - DAQ DAC Output + x="457.93765" + y="911.95245">10K 100R + x="668.26935" + y="944.09534">100R 100R + x="666.70068" + y="887.70728">100R 200K + x="538.91632" + y="773.56006">200K 200K + x="745.49255" + y="774.06512">200K 100K + x="381.33258" + y="773.56006">100K FarnellFarnellLT30-2 (0-30V) + + ADC5Input + + ADC4Input + + DAC Output + _ + + + _ + + + _ + + diff --git a/thesis/figures/logic_ps.pdf b/thesis/figures/logic_ps.pdf new file mode 100644 index 0000000000000000000000000000000000000000..79c43487aa303dfda3f3d0e095bcb5a1d3be5c3a GIT binary patch literal 7255 zcma)>2UJtb)_@TdAqYa~Jt!cZ1V{))kxuBnw*a9@4FN(C6s0%mMG+|if`UjDrB^{k zI!F-35t3DWGiK?NESg*8pA^Gz#eoz&^+_ zdgI0{L0P}ezeiO3yq20Ikc9FAr8PxH-@C46r%qDB<$G^98ncZi>bSHxdtgjoitVos z|4=#6R_ggG6TB>bQ7QQ7NxHd5hu;xd_OktKdzd|Xi-6s;W4QB>E4l$ne=l|JT4^UkhfNyFma=;3l$;gss@#bYbd8apgA z=29-lBp^kg+c;#*e&Bx1p^j{p-%<3SQjcHhtOA4i+AtL*x9om zcURf(Uzv1SeXQWOuu}!P>?ubcVVjzm8!ERNvGgs+lsU>@n&C3HZB~_t%x($T5{yXC zBV*=P<0dn<=BKvPA#WR;YfY|yl5%ya;n>`;b$VPPxAv`R(8)pZ;zr(3Af&6O%=@D- zmJtAn;@&5=OB!Y9X3&pM9t*jR);ImcbiW`%qAfZ!T%M?erocOiX{-NnIxm1otd)|d z5=Lu2)Q(ykpI^|cnW*Ex>alVx#cLBw!{}FI6f0h|cl#=Rx9=f;Q2LuFc4rG~COw)BP?#SN({RtM zJw4ycoI83#A+*i+ILO;?9IA$fe2%rU*ko!%6Rh6-`PAes$6InL1N_LFZ#WpDt+7TX zesGs4_~{qEEt)xi6wl9t+DOnlHUI^ zaD3+@=}B$GXLO=vRpQX&vee!4(%G8=zEja(sCv_)JXB+cx$c)%1qyv%AXrH}Rv;w& zB0Y8y=PVEUD1mJ;^@3Rz1&VhLwc_6%SDy2i(s@yun*Zh&puI6)ki5H(o4c)6mLaos zaJt)mGMedK%Pz>)e5HuST*ZUcam(9FjQ734h>Ko*|9&knt4Bvy!0E}3)EDK&v70*n z0S?j2+c4-O-t3XVLq>U_{c~rG?j`bBY0_%vlGb>VY%R^@)-6a@-Jjtek+%hmc|YZO z#ZzEpOk;Ax@5{ObP3_aJ+pBLbMcoRvu%jefl3e5vs!}I)cNV%nmX|$j;XK__>`^rk z26YyGUBn%jl;(XYKm=8OoSXzzg?mnuDFE?ihH;%h#lbu>Ft;p>oD1 z77ny8?xblH#(emW+CBysea`zqKF#|j5`c2E`zt%)p741MpUm)gTsnh5#6|EG@I{fo z)81*KQ^aDF^)R?32a=Y?3vib(5{xT=gym&H5RkAf68DQseYh7te}$FY-7vq^wig5o zfW#@#HbH{?ra3U~B z6ap0z0fWI15fDTiCIrVlf<-|Vr@HdE5#W@)K=>T~Ghr*E-Mu}28`aO=PNl!h(!-4b zjdb(!!1rwH_e(5~lPjQnoNQ6L%5r$&UuNo}yxhIfwkR(U-etPKStX7u|7+)f|2>fZ zwsYW}`g4ncfS_Qg$UnA{A)}kd2JLmh4)vlp*0qcSDt49Zh?qU|Zt=KYZ&j(u-!}+D zusvCN1bj08{m!5|>D#t=vC0tXFr`$s%kc>rA*Oy&x*~O1lHePF8$^_J10qEC3dG@ z`6gIgD17BRfqJS?pyP4w)5qHcF8bjk?EZFsPMxjaq2C(ln+^{joOejYWY@|ut^3|{ z*<%5YW)7O@2S=?CExrx@Rvyw`V4?p>{(E`6K>Af`&;k2$&LsE!pwcTf zSJK4Dr4&(W#9o|r)U1fOwl#(GU-bF|#&^F}^Dl?&R*N$TldDQTZxCYav_K1w(eA@u zcU+(GSL@NZUI6yBf%#e-$$fcN%FQVj%ukSgMe_PB8Am;5Ygz|O7E<$}fVvnzsaGN) z3l{{fO4?N4o9O5lAmvs&yBohB8e;BBGL%oNPm$T@$cs8f-QnfVyWZ{s5e;v* zd0*iO$fTSCn}m+t1yIus^(dRXZdw;J(n`B58NSftx(xVW)-mTKDrON_wp_4k5@-dt zK4~H8hzc3di564Lq>VQ7bka$+<1*QHdPA4ZrPfpZ*1(XDzj8iRbsn*f=&^5a&7jRQ z7T_-DfOm zdv{dQi(Ey_xAcuP?M#)WGZZ=7$djS(7IUasz=g2T=A7xc7y230#eq8C-2&?OC5Ftt z1_(Jt*YzE#Ms+fuTZ-D`KEMdq0pB`DO^Lqj(*wWkGw}EnV-MbCef9ErXiLHP#@Uy0 zUsu+?to1j(d>WqAnvv8TvXHo!K`MHaE0QJ3Sla6qcJ|hs?AWG&C|FvGUm_M|6@XxR z zgHFzipK~tBE*`6QL5@Y;eseysL1x`*UsC&N*`)_JNF8JtNJK*rrg9fbLel+vge)(v zUM2nhgRWPpap60+QhV#IGnN5Qv+1fT#v?eg07uOGpS>w%wbb4o9L2Y@ZL>uO$TV=t z-tb`awnW=d@iS2o&&EVqBZxp!WFj}GKisB#!Te}KsCQp#g*)3Zp5^69LSt8ndSlZ) ziMjh*t5PeYMc%^om2qgffhIe9hxg076dhvp+#hrAT#{2tcY6cj0@mZk5Af7Nq&^t=;zw7 zG2R`XE$~3XvL@iGyZ+-AyXv(i$Mu`n_X31$%(NEROnRea2wHclD%plA*$gAN7b0|5 zv$WmEXD3E`*J5Lo%cQE=_Zttwc7+|DpNW$9P4r@|6XCJ0O)*#3nqRJ4A75^~d0=3l zDq~g87i@2zAUr;IC3)PK`>{Z(3A@jXsYwT{e16Td`c1@9#kbM(iP#QL5<`9g;vb%w zDJ=adkdRvsT;0M6}vMmi_`nQDY?VEwGYw3GDq0W4cQIYoz#JzZr{DKoy*|ZFGu~8c(*9P8Q$0nOU zzh)#&p*E(AlCTm=ElMePwh@vkcO$7wl5w>~sNyU#Tj~&%J*jKIZ(~bgJiuQJJM}+v26DUO)q(Ii=cL_&pa4gS^xLn|Lb4ZHEz6hw{vte((wND?4Cv$d8FLV=!XhHJM0(Lzt8*`nnx= zmy96_%=S$7Y&IqYWTS+r+X1pqUpi9p=k}VXQz_R*B3f7y83|l@M2!=SzOB_%v1a-P z4zKmHrA}_a)s|m2RdS<7>RjJZbrbD21W5DWuv($?5v_R6<8%M;#e68yfzMsYo8?2x z7CWx$Y#Q~b^7f1@1D%HpDlVFu&P|WH-2E6VVWIfw@z+u(EJ*AmzX-Guce*ft2s;-b=4fZ^*WE%@57wbwBxiZ5XQG)~>~LN0%Y?xozt+Hr ze!at$-l_YkKIPBWZA?vDn{K<%$YNe3Ads3;tx@eJ6O7li{O{NF=rwDj5&An6Fe6m@{@Pm4y&}T#hf8aGx_#pN9PT1!d%qUh_cqw>cEWuMcS~t zYRY>7ZZV4(rb}#RsMD9loF99|vR(oKF{$Jl9*O6xSHkygJf?aCx+RMV1?Jrpsl?{+!1x%p91$r!V5t9!=1#Pw(#U)x0KG z+7OxQi1uU5Q=}hgck7ne%bDdYMcm@vp-pZ^zP_7*O^%v~L&UK$1ZI&b%LF|zl+TPYZb1OrY zcP#h;rmAnxRa+@;*_!U2D$|=T|I8bPdyL`BxtAZ5UO)HFoS>{&qgYKX*W^O{x;^`I zq3;q!;Xbd~>1Rx;!>(*z4J6dJ+9sfrhb~Xq$LOeyp&vq95X%Sp=gnpt_cJ_@ zZ1v3rLvD7R6#c-w8zI?`-I;GFXlOWOls2S+0o*VD(1@ML#vEruA8N6SNCbzKmHSSN zu9|kz#&eBTGJbO&R8imZv~6|m$d7H&I*DwLZ5Z`)tLT(;Y#N3Sm|qeOH%SdJE?zGp7~~`#;D%cCWTtJ=iqu4Y!R~il6?VxYA_I{xe9$yl zs@#+!BKPHIWn6p32bpU*`Sw}(%;8JA;HV~cE+4hSaQ5JcrpA$3TA5?lR)$US z$g~@q2c~O)8DEaWi;-zcvsT+1W|5!HZmhm{nq4(r%a6+%*q9AP&f1U&UufM1o?O~2 zAvk_T*7&sD3)m~U**lM2APM5w6!Vs`h+{46h>k-`L)pe<;%V1`GV$#)h(q<+M%6=o z8#BQmw1{QkrA<5OAeJV>NcLx*5%I70a?YD^27xwjMg}Ki)n@~MpHlYX+VX($D$;9B z3w(`^siT;s2**V1l63+cbM~Ua)*bhQ_U2q`cD4&!HAmR%vu0JbRrvC z9E7_KU+4qWHog-VVkhItH3MT~f&}jda2{LEx<$Tvuvgq>wO~Ji<2&V;|wz$;ywio>zTLKfl}Ow~@%pTW;adiKT1Foh`}Uk=dx(A~ zMwEio+O*gzKgHTPN-zHhq&@P^`Th232)rx)O;CwSoI)e*c~$j9I0#5h>X1u)lPjIF z1sg(X72@ghE%AIk&{lp_=Avy8@N&5osZvs5E|pw2-nBv&iC(x%bsSbqF7jt1sKAbBg@wkl80S2tfA(RU)tJrBg zwR^{%x^psf{$zysu&lB6gz(dCqv=0c1^(~zU#vnDE+X*@A4vT9M;n4?1OFSNwdhlG zZUs{kPuFWgdN5RnDzjqN7q}*|edoOc2+WushnUj6c(Jk0zj~2Z-@0`%qpvG(ZQ@$Z z@Y(LEBTgxb{8)pBi_0rq15Na{iaLoRA`{6|=(Vga8_$}zw>yVd5Q9(@I|P2d@xuZ_ zgGh$tQuowr8~3$PnAIXhc3p{=jPwigMh0P0Tar+TerMfKiov}0m-{+%!%L;&eJ z$2rzSAE}}c%@0%`<>R#}vOdJ;upRL^USCV)rQ+vE(PssP#oY_@NWG*ilS1rqFyKwI zT0glcP&U;`RoJ6ZVNvKT@agL(4Yy~iy~6AtGKc>R#i@h;iiL;-^j9b#cu?~Tdi;bd zcn+k1^0Gxcd0^bpr(gyz(nPxAIFAC#8ENRPhr=yDU$`J0yg*`qSCab)dITY05ikgs z$Dtqy3=YPDDj4i9#)H5hU7T!X-5gv{An>W4td}jG7)gl0PmB1j@nt~~QRt~a9_gWi za&mCQK_y%>ry@NJ%GD4A|EZ5JsQfD6M~Xu=C=n2z=KM}~aKP!mS^Bd*ZyU@h#*#-U z;9I~uLge&~9MTJgm;7NluBM)!7p`lBo4q@}Dju!rq8yxXw9XI2Ba4G_C|RMR*M!)c+@6U(XN^CnpPG{$z9Br0)cul6_J=M^OdL1O{#8#@;!j-|7#E~}*29hL4_zn}iZlIR^&~|8 z7#~yuHy{614+eq%IX)O1x1s#AJs2GFTYDHZ61Vozr)Ph9PW~vo|M2Ti*WDdAC-8Cj hxy9huEa-GzoX#{a3=)kw^*9U;lK^mWDQYPJ{trZzQmFs{ literal 0 HcmV?d00001 diff --git a/thesis/figures/logic_ps.svg b/thesis/figures/logic_ps.svg new file mode 100644 index 00000000..43b50f13 --- /dev/null +++ b/thesis/figures/logic_ps.svg @@ -0,0 +1,317 @@ + + + + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + +_ + + + + + + + + + + + + + + + + + + + + + + + + + + LM39403.3V Reg + + + + + + + + + + + + + Vcc = 3.3V + + -- 2.20.1