Kernel - Slight reworks to timer code
[tpg/acess2.git] / Kernel / arch / x86 / link.ld
index 93066bc..a09b29a 100644 (file)
@@ -9,6 +9,7 @@ OUTPUT_FORMAT(elf32-i386)
 
 SECTIONS {
        . = 0x100000;
+       __load_addr = .;
        .multiboot : AT(ADDR(.multiboot)) {
                *(.multiboot)
        }
@@ -23,20 +24,33 @@ SECTIONS {
                _UsertextBase = .;
                *(.usertext)
        }
+       _UsertextEnd = .;
        
        .rodata ALIGN(0x1000): AT(ADDR(.rodata) - 0xC0000000) {
                *(.initpd)
                *(.rodata)
                *(.rdata)
-               _gKernelModules = .;
+               gKernelModules = .;
                *(KMODULES)
-               _gKernelModulesEnd = .;
+               gKernelModulesEnd = .;
                . = ALIGN(4);
-               _gKernelSymbols = .;
+               gKernelSymbols = .;
                *(KEXPORT)
-               _gKernelSymbolsEnd = .;
+               gKernelSymbolsEnd = .;
+
 
        }
+       /*
+       .debug_abbrev : { *(.debug_abbrev) }
+       .debug_info : { *(.debug_info) }
+       .debug_line : { *(.debug_line) }
+       .debug_loc : { *(.debug_loc) }
+       .debug_pubnames : { *(.debug_pubnames) }
+       .debug_aranges : { *(.debug_aranges) }
+       .debug_ranges : { *(.debug_ranges) }
+       .debug_str : { *(.debug_str) }
+       .debug_frame : { *(.debug_frame) }
+       */
        
        .padata ALIGN (0x1000) : AT(ADDR(.padata) - 0xC0000000) {
                *(.padata)
@@ -46,11 +60,12 @@ SECTIONS {
                *(.data)
        }
 
+       __bss_start = .;
        .bss : AT(ADDR(.bss) - 0xC0000000) {
                _sbss = .;
                *(COMMON)
                *(.bss)
                _ebss = .;
        }
-       _gKernelEnd = (. + 0xFFF)&0xFFFFF000;
+       gKernelEnd = (. + 0xFFF)&0xFFFFF000;
 }

UCC git Repository :: git.ucc.asn.au