UDI/GFX - fiddling
[tpg/acess2.git] / UDI / drivers / gfx_bochs / bochsga_core.c
index 8f6dae8..458f893 100644 (file)
@@ -382,6 +382,7 @@ void bochsga_gfx_engine_command_req(udi_gfx_command_cb_t *cb)
 }
 void bochsga_gfx_buffer_info_req(udi_gfx_buffer_info_cb_t *cb)
 {
+       udi_cb_t *gcb = UDI_GCB(cb);
        switch(cb->buffer_index)
        {
        case 0:

UCC git Repository :: git.ucc.asn.au