To ROM J.
[uccvend-snackrom.git] / ROM2 / motors.c
index ba91ec9..765c1b7 100644 (file)
@@ -136,9 +136,7 @@ u8 dispense_motor(u8 slot) {
        //if (!is_motor(slot)) return MOTOR_NOSLOT;
 
        motor_on(slot);
-       delay(1000);
-       motors_off();
-       return MOTOR_SUCCESS;
+       delay(100);
        
        if (!left_home(slot)) {
                motors_off();

UCC git Repository :: git.ucc.asn.au